• 통큰쿠폰이벤트-통합
  • 통합검색(104)
  • 리포트(101)
  • 논문(1)
  • 자기소개서(1)
  • 시험자료(1)

"fpga 7segment" 검색결과 1-20 / 104건

  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 7segment(fnd)
    -실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(ALU, BCD-to-7segmemt)]-관련 이론1. ... 따라서 그 사이에 원할히 통역해주는 코드가 필요한데, 이를 바로 2진화 십진코드(BCD)라고 부른다. 2진수 네 자리를 묶어 십진수 한 자리로 사용하는 기수법이다.3. 7-segment7세그먼트 ... LED의 어레이라고 생각하면 된다.아래 사진은 7세그먼트의 7개 영역을 이용해서 숫자를 조합한 모습이다.1) common-anode type7세그먼트는 모든 획의 LED에 연결되어
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.20
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    이에 해당하는 10진수 15가 표현되었다.토의이번 실험은 BCD to 7segment를 설계하고 FPGA 보드에 연결하여 작동해보는 실험이다. ... Pin planner에서 각각의 input과 output의 pin설정을 해준다.7. BCD to 7segment가 최종적으로 잘 작동하는지 FPGA보드에 연결하여 실험해보았다. ... 7-segment와 10의자리 7-segment 2개로 숫자를 표현하는 과정이다.1.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • FPGA 디지털 시스템 설계 : 16:1 Mux 및 Hex to 7 segment 설계
    =9; #100 sel=10; #100 sel=11; #100 e hex7segment(hex,segment);input [3:0] hex;output [7:0] segment;reg ... f까지의 문자를 7 segment에 나타내려면 아래와 같이 led를 켜면 될 것이다.afgbecd.X7 segment의 led에 알파벳으로 순서를 정하고, 8bit 출력 신호에서 ... [7:0] segment;always@(hex) //segment : Xgfe_dcbaif (hex == 4'b0000) // hex=0segment = 8'b0011_1111;else
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.18
  • 디지털 공학 실험 XILINX 결과레포트 7-segment
    고찰이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7-segment 란 binary decimal ... 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)]2. 실험 결과3. ... 저번과 마찬가지로 코드의 정확한 작성이 매우 중요함을 알 수 있었다. ‘~’ 기호를 실수로 빼먹었는데 simulation을 하는 데에는 딱히 오류가 검출되지 않았지만 FPGA에서 각
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    _{ 1I _{ 0=11[그림 8]3) 7-segment decoder의 동작 확인A. 74LS47을 이용하여 7-segment decoder의 동작 확인74LS47을 이용하여 [ ... [그림 11]에 입력에 따른 7-segment 출력을 나타내었다. ... 이때, 퀴즈 3번 문제에서는 common cathode 방식의 7-segment를 가정하였으나 실험 키트의 7-segment는 common anode 방식이므로 퀴즈 문제의 진리표를
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 충북대 디지털시스템설계 결과보고서5
    해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다.1sec counter를 통해 7-segment에 출력할 데이터를 계산한다. 4개의 ... 그리고 LED에서와 마찬가지로 clock_12MHz를 PLL24X2에 입력시켜 clock_24MHz로 변환시고 서브 모듈인 7-segment 구현 모듈을 불러온다.7-segment
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    구현하는 방법을 익힌다.- Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다.3 관련 이론1. ... 실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의 ... 마치 7-segment display 4개가 병렬로 연결된 듯한 모습인데, 4개의 part에서 각각 DIG1~DIG4가 공통으로 연결된 것을 볼 수 있다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    아래 그림은 실습시 이용한 FPGA이고, 상단에 7segment 6자리로 구성된 display가 있다.그림1. ... FPGA 활용 제품들References Hyperlink "https://components101.com/7-segment-display-pinout-working-datasheet ... FPGA 보드의 segment 6개는 독립적이지 않고, 한 개의 segment가 6부분으로 분할 되어있는 구조여서, 6개중 한 개의 segment에만 숫자를 표시 할 수 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    또한, 7개의 조명조각에 모두 통전(通電)하면 숫자 8이 표현된다. 0~9의 수에 따른 7 segment에서 빛이 켜지는 위치는 아래의 표에 나타내었다. 7-segment에는 2종류가 ... 표시방법7 segment 장치는 7개의 조명조각으로 숫자나 문자를 나타낼 수 있다. ... 스위치에 해당하는 변수, digit_con은 시간이 뜰 6개의 7 segment의 위치에 해당하는 변수, sseg는 7seg에 해당하는 변수이다.(5행-9행)선언부의 signal이
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 예비 보고서
    [표 5][그림 16][그림 17][그림 18]4) 중간고사 2번의 회로를 FPGA(schematic)로 구현하여 7-segment로 동작 확인최소화된 상태표 및 카르노맵을 각각 [ ... [표 2][그림 7][그림 8][그림 9]2) 퀴즈 1번의 회로를 FPGA(schematic)로 구현하여 동작 확인최소화된 상태표 및 카르노맵을 각각 [표 3]과 [그림 10], [ ... [표 6][그림 19][그림 20][그림 21]5) 중간고사 3번의 회로를 FPGA(schematic)로 구현하여 동작 확인최소화된 상태표 및 카르노맵을 각각 [표 7]과 [그림 22
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Conclusion- Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 설계 및 실험할 수 있다. ... 최종적으로 FPGA Device Configuration까지 수행해서 동작을 확 문법
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 6주차 결과보고서- 디지털 시스템 설계 및 실험 결과보고서
    BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit ... circuit을 설계해 보았는데 FPGA로 할때는 초기값을 굳이 설정할 필요가 없지만 모델심을 이용할 경우 초기값을 clear 해줄 필요가 있다는 것을 배웠다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-segments 출력이 나 온 파형이다. ... 핀플래너에 입력값에 대한 핀번호는 교수님께서 올려주셔서 그대로 대입했지만 출력값에 대한 핀번호는 가르쳐주시지 않으셔서 임의로 seven segment digit에서 y0에 ... 파형에 대한 토의A와 B와 c_in을 전가산기를 이용하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • FPGA [ ROM & RAM ]
    => seg_decode := "0100100";코드에서 "0000000001"의 의미가 첫 번째 스위치를 점등한 값을 의미하는데 이 값이 7세그먼트로 숫자‘0’이기 때문에 DE2보드에 ... 7세그먼트값으로 숫자‘2’이기 때문에 DE2보드에 ‘2’가 점등된다. ... ‘0’이 점등된다. "0000000010"의 두 번째 스위치이므로 7세그먼트값으로 숫자‘1’이기 때문에 DE2보드에 ‘1’이 점등된다."0000000100"의 세번째 스위치이므로
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 고려대학교 디지털시스템실험 A+ 10주차 결과보고서
    SRAM 을 이용하여 계산기를 구현할 때, 결과값이 FPGA에 뜨지 않았는데, 7 segment control module을 사용할 때 rst 값에 1을 넣어주는 것으로 이러한 문제를
    리포트 | 2페이지 | 2,000원 | 등록일 2023.06.21
  • [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 3 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA의 7 segment를 이용하여 Timer를 설계해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증,
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    ;signal clk6 : std_logic;signal clk7 : std_logic;signal clk8 : std_logic;signal clk9 : std_logic;signal ... cnt : integer range 0 to 800;signal clk_selectseg : integer range 0 to 7 := 0;------ sound signalsignal ... 설계 구현에 사용된 구성품Kit7-Segmentpush buttonLEDBUZZER비트코인 시세고정비트코인 시세하락비트코인 시세상승? 입력버튼 설계2.
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 디지털시스템설계 7-segments 실습보고서
    실험 제목FPGA 7-segments 구동 Design2. ... 실험목표FPGA 7-segments 구동 Design- 7-Segment LED Display 이해- 4-Digit의 7-Segment LED Display의 Rotate 동작의 이해 ... 오른쪽 7-Segment에 숫자를 출력하는 코드이다.segment를 출력하는 코드이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 한양대 Verilog HDL 3
    실험 목적Verilog 문법 중 Blocking, Non Blocking의 개념에 대해 이해한다. 7-segment decoder 을 이용해 60초 기준으로 1초마다 FPGA starter ... Non-blocking은 두 개의 절차로 진행되는데, RHS(right hand side) -> LHS(left hand side) 순서이다. ... Blocking 구문들이 다 처리된 뒤, Non-blocking 구문들의 값을 확인할 수 있기에 Non-blocking 구문의 값을 확인하기 위해서는 $monitor, $strobe
    리포트 | 7페이지 | 2,000원 | 등록일 2023.03.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대