• 통큰쿠폰이벤트-통합
  • 통합검색(571)
  • 리포트(532)
  • 자기소개서(36)
  • 논문(2)
  • 시험자료(1)

"Verilog code" 검색결과 181-200 / 571건

  • 서울시립대 전전설2 Lab-07 예비리포트 (2020 최신)
    코드에서 in_sync는 입력 in도 1이면서 sync가 0이어야 1이 나온다. in이 0에서 1이 된 후 처음으로 clock이 falling하는 timing을 예로 들어보자. ... In-Lab [실습 0]의 코드를 작성하시오.2. 응용과제에서 글자 입력 ‘abaa’패턴 검출을 Moore machine으로 구현하기 위한 상태천이도를 그리시오. ... 실험목적Finite State Machine의 두 종류인 Moore machine과 mealy machine의 차이점에 대해 학습하고 Verilog HDL을 이용해 moore machine을
    리포트 | 8페이지 | 1,500원 | 등록일 2021.09.10
  • LIG nex1 합격 자기소개서
    Verilog를 활용하여 RTL coding을 분석하고 시뮬레이션, 테스트 및 구현 업무를 진행하였습니다. ... 확인하여 검증 완성도를 극대화할 수 있었습니다.둘째, 회로 설계, 검증 및 디버깅을 위한 Simulation Tool 활용 능력전자 회로 설계 및 검증에 사용되는 하드웨어 기술 언어인 Verilog
    자기소개서 | 5페이지 | 3,000원 | 등록일 2022.12.28
  • 디지털 시스템 설계 및 실습 인코더 설계 verilog
    우선순위 인코더의 Verilog 코드1) Encoder.vmodule Encoder(d,X,V);input [7:0] d;output V;output[2:0] X;reg V;reg
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 디시설설계실습
    실험목표Detect 101 Machine Design-Verilog Modeling-simulation3. ... 추가적으로 학습한 실험에서도 다이어그램을 그리고 코드를 짜고 실행 시키는데 문제가 없었지만 result값이 고정적으로 어떤 값만 표시했는데 왜 오류가 발생했는지 궁금하고 원하는 결과값을
    리포트 | 3페이지 | 2,500원 | 등록일 2020.11.15
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    실험 목적 :1) 래치나 플립플롭의 단순한 기능을 넘어서 그보다 더 복잡한 기능이나 패턴을 가진 회로의 기능을 verilog로 구현하자.2) 만약에 FPGA보드를 사용한다면, verilog로 ... 특정한 목적을 위해 묶어진 변수들과 함수들의 모임이라고 생각하면 쉽고, 하나의 라이브러리 개념이며 코드를 모듈로 분화시켜 적재적소에 조합한다면 매우 효율적인 프로그래밍이 가능하다.포트 ... 실험 제목 : Sequential logic design using Verilog2.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 시립대 전전설2 A+ 5주차 예비레포트
    실험 내용1) 실습 12:4 Decoder 로직에 대하여 다음의 Verilog 코드를 참조하여 module을 디자인하시오.Testbench를 작성하고 100ns마다 A = 2’b00 ... 실험 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계함Encoder/Decoder, Mux/Demux 등 개념 학습 및 설계실습Behavioral ... 기호 사용가능casex문: x와 z를 don’t care로 취급하여 해당 비트를 비교에서 제외예시2) [실습 1~5]에 대한 코드 및 Simulation실습 1decoder2to4.
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • 전자전기컴퓨터설계실험2(전전설2) (5) Encoder and Mux
    HDL Module 이름을 선택한 다음 마우스 오른쪽 버튼을 클릭하여 New Source 항목을 선택한다.Select Source Type에서 Verilog Test Fixture를 ... [사진 32] 4 × 2 인코더 (case문) 소스코드[사진 33] 4 × 2 인코더 (if문) 소스코드위의 소스코드는 case문과 if문을 이용하여 4 × 2 인코더의 동작에 대해 ... 그렇지 않으면 0이다).I3I2I1I0F1F0V0000XX0*************101001011000111[표 n을 다시 실행시켜 컴파일 한다.Simulation을 선택한다.Verilog
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    연결하여 전체 Data Path 회로를 구현하고 결과를 시뮬레이션 한다.- Control Word 는 13bit 로 정의된다.이번 실험의 회로도 및 진리표이번 실험에서 작성하게 될 verilog ... 코드를 어떤식으로 작성하여야 할지 생각해 보았다.1. ... 어셈블리 언어는 Microoperation의 나열로 구성된다.예) 피보나치 수열을 계산하는 프로그램- C언어로 작성된 피보나치 수열 게산 프로그램- Assembly 언어로 작성된 피보나치
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 9장 VHDL 설계 툴 사용법 예비
    예를 들어 FPGA를 판매하는 회사는 보통, 회로 입력(심볼 및 VHDL코드) 부터 시작해서 VHDL 코드의 합성, 모듈(소자)의 배치 등을 지원하는 도구를 지원한다.나. ... ISim(VHDL/Verilog), Preferred Language ? VHDL,VHDL Source Analysis Standard ? VHDL? ... OR 게이트(1) 입력 A, B와 출력 C port를 각각 선택하여 만든다.(2) OR 게이트 내용을 코딩한 후 저장한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 현대모비스 SW직무 최종합격 자소서
    프로젝트는 알고리즘을 선정하고 C++로 검증한 뒤 verilog로 코딩하여 FPGA 보드에 올리는 순으로 진행했습니다. ... 하지만 verilog 코드를 작성하는 데서 어려움을 느꼈습니다.충돌 영상을 사진으로 나눈 뒤 픽셀값을 입력 신호로 주었습니다. ... 그러던 중 기본개념에서 힌트를 얻어 보자는 생각을 했고 수업에서 배운 verilog 사용법의 기초를 복습했습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.06.11 | 수정일 2023.06.13
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    이 때 half_adder의 ucf 파일은 remove하고 full_adder 용으로 ucf 파일을 새로 만든다.)Source code- full_adder- U1-half_adder ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.나 ... LED1)A[3:0]B[3:0]S[3:0]C11100011000110111110000111(4) [응용과제] four-bit comparator를 설계하고, A와 B의 쌍이 8가지
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다.3) 아날로그 회로실험 A+R, L, C 수동소자 및 MOSFET ... 하드웨어 설계 시 일어날 수 있는 timing 이슈에 대한 문제해결 역량을 길렀습니다.2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다 ... 하루 4시간가량 인터넷 강의와 서적을 통해 학습하고, 50개 이상의 예시 코드를 작성하며 알고리즘을 익혔습니다.마지막으로, 끈기를 가지고 문제를 해결했습니다. 1차적으로 제작한 앱이
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(결과) / 2021년도(대면) / A+
    타이밍 시뮬레이션으로 확인하시오.Source codeTestbench testbench 시뮬레이션 결과(2) [실습 1] 교안의 Moore 머신과 Mealy 머신의 코드를 따라서 ... Conclusion- Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험할 수 있다. ... 실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험하고, Finite State Machine 등을 설계 실습한 뒤, 로직을 시뮬레이션하기
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 시립대 전전설2 Velilog 결과리포트 5주차
    Verilog HDL 실습 5주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험 ... 코드를 보면 s가 00, 01, 10, 11일 때 출력 q가 각각 a, b, c, d가 되도록 해주었다. ... 같은 진리표를 얻을 수 있다.논리회로논리식Q = S1’S2’A + S1’S2B + S1S2’C + S1S2D4) BCD Code (8421 코드)BCD = Binary-Coded
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 삼성전자 회로설계 자기소개서 (2)
    입력한 코드들은 어떻게 로봇의 펌웨어에 인식되는지, 인식된 코드들은 어떠한 전기적 신호로 내가 원하는 동작을 하는지에 대해 항상 궁금했습니다. ... 레고를 사용하여 하드웨어를 설계하였고 C언어를 사용해 프로그램을 만들었습니다. ... 사용한 툴은 PSPICE와 Verilog입니다. PSPICE를 익히며 이상적인 소자와 실제 소자의 차이점을 공부하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.05
  • 소니코리아 FAE 최종 합격 자기소개서(자소서)
    제가 작성한 코드에 이상이 없는지 확인하기 위해 똑같은 곱셈기들을 Verilog로도 구현해 교차검증했습니다. ... C++을 이용해 제안할 곱셈기 및 타 근사곱셈기들의 코드를 작성했습니다. ... 이상이 없는걸 확인한 후, 에러 지표를 비교하기 위해 C++로 에러 도출 코드를 작성하고 이를 이용하여 결과 비교 데이터를 도출했습니다.
    자기소개서 | 7페이지 | 3,000원 | 등록일 2023.02.17
  • 23년 상반기 한화파워시스템-전기제어 합격자소서
    (How(요가링 시범) Result(참여율 높임))2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다. ... 아두이노 설계 담당 팀원과 서로의 코드를 분석하여 전역변수 설정 오류를 찾고 해결했습니다.이 노력으로 목표한 기능을 성공적으로 구현하여 출품할 수 있었습니다. ... 하루 4시간 이상의 인터넷 강의와 서적을 통해 학습하고, 50개 이상의 예시 코드를 작성하며 알고리즘을 익혔습니다.협력을 통한 문제 해결: 초기 앱 제작 시, 아두이노에서 전송한 값을
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 서울시립대학교 전전설2 5주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 Combinational Logic을 설계 및 실험한다. ... A); Y[5] = C(!B)A; Y[4] = C(!B)(!A);Y[3] = (!C)BA; Y[2] = (!C)B(!A); Y[1] = (!C)(!B)A; Y[0] = (!C)(! ... A);(최적화를 하기 위해서 assign문으로 하는 것을 고려해 보았는데, 아래에 구현한 3개 방식의 코드와 별 차이가 없어 아래의 코드가 최적화된 코드라 생각합니다.)- case를
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 6 보고서
    By constructing the codes of the TFT-LCD controller, we can have a deep understanding about a TFT-LCD ... All of these contents can be considered in making circuits of a TFT-LCD controller using Verilog HDL. ... The red color has two conditions, on or off, and the green color and the blue color also have the two
    리포트 | 18페이지 | 3,000원 | 등록일 2020.08.18
  • 2023상반기 LG전자 합격 자소서
    이는 회로 검증 시 나타나는 각종 이슈를 찾아 해결하는 엔지니어 업무에 꼭 필요하다고 생각합니다.3) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 ... 지원직무와 관련 있는 전공 혹은 교양 수강과목 (500자 ~ 1000자)1) 아날로그 회로실험 A+브레드보드에 R, L, C 소자 및 MOSFET, BJT를 이용해 필터회로와 증폭기를 ... 하루 4시간가량 인터넷 강의와 서적을 통해 학습하고, 50개 이상의 예시 코드를 작성하며 알고리즘을 익혔습니다.마지막으로, 끈기를 가지고 문제를 해결했습니다. 1차적으로 제작한 앱이
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:54 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대