• 통큰쿠폰이벤트-통합
  • 통합검색(571)
  • 리포트(532)
  • 자기소개서(36)
  • 논문(2)
  • 시험자료(1)

"Verilog code" 검색결과 141-160 / 571건

  • 논리회로및실험 레포트
    주석(comments)- C Language와 비슷하게 사용- 소스 코드의 설명을 위해 사용. ... http://skbdlee.tistory.com/entry/2-Verilog-HDL-%EB%AC%B8%EB%B2%95" http://skbdlee.tistory.com/entry/2 ... docId=2835925" XOR 게이트 [XOR gate] (두산백과)4) Verilog HDL 문법1.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.07.14 | 수정일 2024.07.20
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 추가 실험 보고서
    Followings are the Verilog codes of them.design_1_wrapper.v`timescale 1 ps / 1 psmodule top // declare ... First, we have to make a simple code which controls LED with button and switch on the board. ... The connected board execute codes and below is the result.Result shows the LED=1+2+8. 1,2,8 means LED
    리포트 | 24페이지 | 3,000원 | 등록일 2020.08.18
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    Verilog 언어를 이용한 Sequential Logic 설계예비레포트1. 실험 제목1) Verilog 언어를 이용한 Sequential Logic 설계2. ... HDL로 작성된 코드는 로직 컴파일러를 이용하여 컴파일한 후 해당 기기에 올려진다. 대개의 경우, 테스트를 진행하며 여러 번 코드를 수정하여 기기에 올려볼 수 있다. ... codesimulation waveformT FFmodule codetestbench codesimulation waveform6.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 시립대 전전설2 A+ 2주차 예비레포트
    , clear, 출력: q, qbarTest bench의 Stimulus는 아래와 같은 코드를 사용해라. ... 실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여 ... HDL1983년 Gateway Design Automation사에서 하드웨어 기술언어인 HiLo와 C 언어의 특징을 기반으로 개발1991년 Cadence Design Systems가
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 전자전기컴퓨터설계실험2(전전설2) (3) Logic Design using Verilog HDL
    공백과 탭은 문자열에서 위미있게 취급한다.주석(comment)는 HDL 소스코드의 설명을 위해 사용되며 컴파일 과정에서 무시된다. ... VerilogIEEE 1364로 표준화된 Verilog(베릴로그)는 전자회로와 시스템에 사용되는 하드웨어 기술 언어로, 회로 설계, 검증, 구현 등 여러 용도로 사용할 수 있다.C언어와 ... Verilog (03)Ⅱ. 본론 (03)1. 실험 장비 및 사용법 (03)1.1. Verilog HDL (04)1.1.1. Verilog 어휘 규칙 (04)1.1.2.
    리포트 | 84페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 시립대 전전설2 Velilog 결과리포트 4주차
    실험 목적- Xilinx ISE 프로그램에서 Verilog를 이용하여 연산회로를 설계하고 프로그래밍 해본다.2. ... 이번 실험을 통해 ISE Design Suite의 사용법과 Verilog 문법을 더 익힐 수 있었고 가산기와 감산기를 비교해 보는 계기가 되었다. ... Verilog HDL 실습 4주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 안랩 연구개발 최종 합격 자기소개서(자소서)
    제가 작성한 코드에 이상이 없는지 확인하기 위해 똑같은 곱셈기들을 Verilog로도 구현해 교차검증했습니다. ... C++을 이용해 제가 제안할 근사곱셈기의 코드를 작성하고 비교할 타 근사곱셈기들의 코드 또한 작성했습니다. ... 이상이 없는걸 확인한 후, 에러 지표를 비교하기 위해 C++로 에러 도출 코드를 작성하고 이를 이용하여 결과 비교 데이터를 도출했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.02.17
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 예비 레포트 Combinational Logic 1
    -initial: 최소 한번만 실행된다.테스트벤치 구문에서 20ns 주기로 1과 0을 토글링 하는 신호를 생성하는 코드2’s complement (2진 보수) 방식으로 -8 ~ 7 ... 참고 문헌 Hyperlink "https://hizino.tistory.com/entry/verilog-%EB%AA%A8%EB%93%88%EA%B0%80%EC%A0%B8%EC%98% ... A4%EA%B8%B0" https://hizino.tistory.com/entry/verilog-%EB%AA%A8%EB%93%88%EA%B0%80%EC%A0%B8%EC%98%A4%EA
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 디지털 시스템 설계 및 실습 디멀티플렉서 설계 verilog
    디멀티플렉서의 Verilog 코드1) DMux.v : case 문 사용module DMux(i, S, y0, y1, y2, y3);input i;input [1:0] S;output ... 이것은 Verilog나 BHDL이 합성되는 과정에서 그 전의 값을 유지하기 위해 래치를 포함시키기 때문이다. ... 따라서 이번 실습에서는 디멀티플렉서의 동작을 이해하고, Verilog 또한 VHDL이 회로로 합성되는 과정을 이해한다.2.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 시립대 전전설2 A+ 3주차 예비레포트
    배경이론 및 개념1) Verilog Module2) wire 자료형논리 게이트나 모듈 등의 하드웨어 요소들 사이의 물리적 연결선을 나타낸다.값을 저장하지 않는다.연속 할당문(continuous ... b0110응용 과제Full adder (1-bit) 회로를 behavioral modeling (if, else 사용)방법으로 설계하시오.시뮬레이션 테스트 벤치의 입력 파형 생성은 아래의 코드를 ... 비교하여 학습한다.Verilog HDL에서 always, if, for문 등의 사용법을 익힌다.설계한 로직을 시뮬레이션하기 위한 테스트 벤치 작성방법을 익힌다.1.2.
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    마지막으로 실습 6는 synthesize에 실패하여 최대한 더 고민해보고 코드를 다시 작성해야겠다.참고 문헌Verilog-HDL 문법 (교안 폴더 내 파일)서울시립대학교 에듀클래스 ... 실험목적verilog HDL 언어를 사용해 7-segment, piezo 등 주변 장치를 제어하는 실험을 한다. ... 실험 예상 결과lab 8에서는 combo box의 주변장치(piezo, 7 segment) 등을 제어하는 코드를 만드는 학습을 했다.
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 final project 보고서
    The logic for implementing the design is introduced first and the specific design with Verilog code appears ... After writing the start code, the testbench always read at the address of the finish code. ... Finally, the finish code, 87654321, is read at 323,225ns.
    리포트 | 25페이지 | 10,000원 | 등록일 2020.08.18
  • BCD 가산기 설계 결과보고서
    BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 일상생활과 디지털 연산은 기반으로 하는 수가 다르기 때문에 이 실습에서는 BCD로 입력되는 두 수를 더한 2진결과를 ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다.Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다. ... (13“0010”(20F‘1’“0111”“1110”F9“1101”“0010”0B2. n비트 가산기/감산기의 예에서 입출력 비트 수가 많아질수록 Schematic으로 설계 할 때와 Verilog
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 디지털시스템설계실습 전감산기 결과보고서
    전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라.① 논리조합회로를 이용② if~then~elsif~end if형식2. ... Verilog 또는 VHDL로 설계한 전감산기를 컴파일 및 시뮬레이션하고, 시뮬레이션 결과를 진리표와 비교한 후 다음에 나타내라.연습문제2.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    예를 들어, Verilog 또는 VHDL과 같은 HDL 언어의 구문과 규칙을 숙지하여 회로를 효과적으로 작성할 수 있어야 합니다.※ 학사 수준에서는 HDL 언어(예: Verilog, ... 고속 회로에서의 타이밍 문제를 해결하고, 타이밍 다이어그램을 작성하고 분석하는 방법을 연구합니다.H D L 코딩에 필요한 기술HDL 코딩 기술HDL 언어(예: Verilog, VHDL ... FSM 구조를 이해하고 상태 다이어그램을 해석하여 HDL 코드로 변환할 수 있어야 합니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • Verilog를 사용한 설계과제(4bit CLA 모듈, State table)
    이렇게 주어진 Mealy machine을 Verilog언어로 설계하였다.HW 3설계 코드와 주석테스트벤치 코드시뮬레이션 결과고찰HW 3은 Moore machine으로 설계하였다. ... HW 1설계 코드와 주석테스트벤치 코드테스트벤치에서 초기값을 0으로 선언한 후, #100을 통해 a, b, ci에 100ns 뒤에 각각 4bit씩 입력하였다.시뮬레이션 결과고찰CLA란 ... 이후 입력 값에 따라 상태그래프대로 상태가 천이되고, 출력 값이 출력됨을 테스트벤치 코드를 통해 확인 할 수 있었다. 조합회로와는 다르게 순차회로에서는 clk를 선언해줘야 했다.
    리포트 | 14페이지 | 1,000원 | 등록일 2020.04.03
  • LS R&D 합격자소서
    Verilog를 활용하여 RTL coding을 분석하고 시뮬레이션, 테스트 및 구현 업무를 진행하였습니다. ... 학부시절에는 C/C++을 학습을 통해 관련 프로그래밍 언어의 기초를 학습할 수 있었습니다.둘째, Testing Tool 지식을 실무로 적용해 본 경험입니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.08.16 | 수정일 2022.03.28
  • 2022년 상반기 LG디스플레이 반도체/디스플레이 합격자소서
    Arduino1로 입력을 받고 FPGA로 연산 후 Arduino2로 출력할 수 있도록 Verilog, C 코드 수정3 DE1-SoC FPGA 두더지 잡기"- Quartus Schematic을 ... 코드 상으로 프로세서와 메모리 구현. ... 이용해 두더지가 랜덤으로 나올 수 있는 디지털 회로 구현.4 Verilog를 이용한 8bit RCA- Pipeline RCA와 Non-Pipeline RCA를 각각 구현하고 Area
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.11.08
  • 기초 Risc v 설계 코드와 검증 코드( RiscV 기계어 코드 파일 만는는 타스크 함수 포함)
    소개글Verilog(systemverilog)로 작성한 Risc V의 기본 동작(ADD,SUB,SW,LW,BEQ,SET)을 확인하기 위한 RTL 논리 회로 설계의 소스 코드와 이의 ... 한다.)목차설계 코드( 최상위 모듈 top.v )검증 코드( testbench moduel:TB_top.v)출력파형(waveform)(작성자:탁형옥 2013.7.21)1.설계 코드 ... 기계어 코드를 테스트벤치에서 자동으로 생성하여 파일로 만들어 주는 기능을 수행하였고, 만들어진 인스트럭션 코드는 CPU에 의해 읽혀서인스트럭션 단위로 실행되어 그 결과를 waveform파형으로
    리포트 | 49페이지 | 10,000원 | 등록일 2021.11.05
  • state table을 베릴로그로 구현한 예시
    프로그램아래의 state table을 바탕으로 한 verilog 코드 작성3개의 입력 N, D, Q과 출력 R, C를 가지는 회로이며 25cent 제품을 배출하는 자동판매기를 목적으로 ... 또한, 제품을 배출한 후 회로는 리셋한다.코드모듈 코드module state_table2(input N,D,Q,rst,clk,output reg R,reg C);reg [4:0]s0 ... 고객은 5cent, 10cent, 25cent의 조합으로 25cent를 넣을 경우 제품을 배출하며, 잔돈은 5cent씩 되돌려준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:02 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대