• 통큰쿠폰이벤트-통합
  • 통합검색(333)
  • 리포트(313)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 241-260 / 333건

  • [마이크로컴퓨터실험] ATmega128 - AD변환 (AD 컨버터) 보고서
    = ADC3*5/1024LDIAL,low(50)CALLMUL16LDIDH,high(1024)LDIDL,low(1024)CALLDIV16LDIBL,10; display X.XCALLDIV8MOVLCD_BUFFER ... CALLLCD_DATAMOVLCD_BUFFER,AHORILCD_BUFFER,'0'CALLLCD_DATACALLD1SEC; delay 1 secJMPLOOP(5) 차동전압측정 EXP8 ... 내부 기준 전압- ADC MUX OUTPUT : 음극성 입력으로 사용될 수 있는 ADC의 입력신호들 ADC0~7* ACSR(Analog Comparator control and Status
    리포트 | 19페이지 | 2,000원 | 등록일 2011.01.01
  • 무선랜보안(wlan security overview)
    8 S-box, XOR6, XOR5, XOR2, MUX2Performance 200 MHz Pentium Pro: 284 cycles/blockMotivatiocret keys should ... Robust Security Network (RSN) aims to replace: The existing authentication scheme in 802.11 with 802.1X ... Authentication – IEEE 802.1X standard as the basis for access control, authentication, and key management
    리포트 | 29페이지 | 1,000원 | 등록일 2010.11.16
  • 멀티플렉서를 이용한 조합논리 실험 예비레포트
    실제로 8입력 MUX로 오버플로우 검출 논리를 수행할 필요가 없다. ... 어떤 출력이 A≥B를 나타내는지 결정하고 진리표 13-1의 X칸을 오나성한다. 처음 두 개의 칸이 예로써 완성되어 있다.2. 두 개의 그룹으로 된 X의 출력을 조사한다. ... X의 첫 번째 쌍은 B1에 대응하는 실행이다. 그러므로 데이터는 첫 번째 라인에 주어진 대로, 1에 연결되어야 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2007.06.24
  • 충북대학교 전기전자공학 디지털실험 6장 예비
    ALU의 기능과 구조ALU는 산술 연산회로와 논리 연산회로로 나누어진다.산술연산은 과 같이 가산, 감산, 증가, 감소 등의 8가지 기능을 수행한다.이를 위한 회로는 의 MUX 와 ADDER로 ... 본 실험책의 부록 5를 참고하여 MyCAD 사용을 익히고, 2x4 decoder에 대한 회로도와 시뮬레이션 결과 및 심볼을 프린팅하시오.- (2x4 decoder의 회로도) (시뮬 ... 그리고 B0, B1, B2, B3입력 값들은 MUX를 거쳐 출력된 값에 A0, A1, A2, A3와 함께 더해 지게 된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2008.02.18
  • 조합회로 설계 실험-결과레포트
    결과보고서① 2x1 멀티플렉서와 4x1 멀티플렉서를 이용하여, 8x1 멀티플렉서를 구현하고 동작을 확인하시오.module MUX_8_TO_1 (I0, I1, I2, I3, I4, I5 ... 8X1MUX를 verilog로 구현한 후에 동작을 Digital Circuit Design Training Kit으로 확인하고, 그에 따른 Waveform도 캡쳐 하였지만, 저희조의 ... , I6, I7, S0, S1, S2, Y); //8:1MUX를구현하기위한설계모듈선언input I0, I1, I2, I3, I4, I5, I6, I7; // input 선언input
    리포트 | 8페이지 | 1,000원 | 등록일 2006.11.23
  • 4개의 입력과 1개의 출력을 가지는 회로를 and, or, not, nand, MUX, decoder를 이용한 설계
    회로도(4) Multiplexer 회로2 X 1 MUX만을 이용한 회로이다.SBDABCDCC011DD0C4. 회로도5. ... 제어 입력은 데이터 입력 중에서 하나를 선택하여 출력단과 연결하는 역할을 한다.2 X 1 Multiplexer 그림Z = AS'+BS2. 이론5. ... 논리식과 진리표디코더의 논리식입력(Input)출력(Output)ABCDF1F2F3F4F5F6F7F8F9F10F11F12F13F14F15F1600001000000000000000000101000000000000000010001000000000000000110001000000000000010000001000000000000101000001000000000001100000001000000000011100000001000000001000000000001000000010010000000001000000101000000000001000001011000000000001000011000000000000001000110100000000000001001110000000000000001011110000000000000001디코더의
    리포트 | 20페이지 | 5,000원 | 등록일 2010.06.24
  • Lab-8. ADC/DAC 준비보고서
    2 Differential Input Channels with Optional Gain of 10x and 200x? ... 마이크로 프로세서실험 및 설계준비 보고서Lab-8. ADC/DAC1. ATmeg128의 ADC 기능에 대해 설명하라.AVR ADC의 Charicteristic? ... ADC 입력채널설정 (MUX 4~0)???? ??? ADC 동작모드설정 (ADFR)???? ??? ADC 변환 완료 인터럽트 활성화 (ADIE)??
    리포트 | 10페이지 | 1,000원 | 등록일 2010.06.24
  • 디지털회로 설계의 기초 4장 연습문제 풀이(설계 제외)
    (바) 위의 각 경우에 대해 IC 패키지 수, 비용 등을 비교하시오.4.9 4x1 MUX를 이용하여 f(x,y,z)=∑(0,3,5,7)을 구현하시오.x'y'z'+x'yz+xy'z+xyz ... (가)의 경우 Quad 2-Input AND Gate*2 + Quad 2-Input OR Gate*1 = 600원(나)의 경우 3x8복호기*1 + Dual 4-Input NAND Gate ... (라) MUX를 이용하여 구현하시오.a' = ∑(1,4,6)+d(10,11,12,13,14,15)I0I1I2I3I4I5I6I7W'01234567W89101112131415b' = ∑
    리포트 | 18페이지 | 1,000원 | 등록일 2007.12.01
  • 카이스트 전자공학실험3 실험4 Time-base 결과보고서
    회로도로 구현이 가능하지만, propagation delay등의 단점(크지 않다.)등을 보완하기 위한 회로를 직접 설계해보았다. /4, /8, …, /40k block들 및 MUX ... EZ-X5의 내부 Clk은 48MHz 정도이기 때문에, minimum sampling time은 20ns 정도이다. ... 위 회로도의 출력인 pulse exClk이 들어올 때 마다 clk을 1번씩 반전시켜줄 것인지를 결정하는 값이다. divider 값은 4, 8, 16, 40으로 각각 정의하고, divider2
    리포트 | 14페이지 | 2,500원 | 등록일 2011.11.06
  • 디지털회로 설계의 기초 5장 연습문제 풀이
    변환하는 코드 변환기(code converter)4-비트 이진수를 BCD로 변환하는 코드 변환기를 위해서는 4-to-1 Mux가 4개 필요하다그러므로 ROM의 크기는 24x35.6 ... (가) 8x1 멀티플렉서(multiplexer)ROW Decoder : A[7:0] + COLUMN Decoder A[10:8] = A[10:0]따라서 ROM의 크기는 11x1 이다 ... (나) 4-비트 가감산기(adder-subracter)4-비트 가감산기를 위해서는 4개의 4-to-1 Mux가 필요하다.그러므로 ROM의 크기는 24x3(다) 4-비트 이진수를 BCD로
    리포트 | 6페이지 | 1,000원 | 등록일 2007.12.01
  • [공학]16비트 컴퓨터 설계 보고서
    MUX의 Symbol8X1 MUX를 이용하여 AR, PC, DR, AC, IR, TR, RAM의 한 개의 bit를 선택해주는 역할을 한다. ... 이 8X1 MUX 16개와 제어신호를 이용하여 최대 16개 bits의 정보를 선택해 줄 수 있고 필요한 resister가 bus로 이동할 수 있도록 한다.outputBusS2S1S0000None001AR010PC011DR100AC101IR110TR111Memoryselect신호에 ... ......IR1514 13 1211-02) 순차 카운터(SC : sequence counter)1>> 3X8 decoderSymbol순차 카운터를 설계함에 있어서 4X16 decoder가
    리포트 | 23페이지 | 5,000원 | 등록일 2007.06.21
  • 컴퓨터 시스템 구조 2장 연습문제(Computer System Architecture)
    이용하여 전체 블럭도를 그려라.8*1MUX8*1MUX2*1MUX2-8이중의(dual)4*1멀티플렉서에 대한 블럭도를 그리고, 함수표를 이용하여 그 동작을 설명하여라.2-14링 카운터는 ... 01 0 11 1 01 1 10 X X1 0 0 00 1 0 00 0 1 00 0 0 10 0 0 02-6표 2-2에 주어진 바와 같은 8입력, 3출력 인코더의 논리도를 그려라. ... *8decoder3*8decoder3*8decoder2-4.인에이블 입력을 가지고 있고, NOR게이트로만 구성된 2*4디코더의 논리도를 그려라.E A1 A0D0 D1 D2 D31 0
    리포트 | 3페이지 | 1,500원 | 등록일 2006.11.29
  • AGC(Auto gain control) 자동이득 조절기
    AGC module은 두께 1.6mm 유전율은 4.7을 가지는 FR-4기판을 사용하여 제작할 예정이고, 크기는 약 80mm X 80mm이다. ... 2, 4, 8 dB의 손실을 갖는 4개의 감쇠기로 구성하면, 이 4가지 값을 조합함으로써 1~15 dB까지 1 dB스텝으로 가변이 가능하게 된다. ... 5.7)(식 5.8)(식 5.9)표 5.1 π형, T형 감쇠량 수식5.3 가변 감쇠기가변형 감쇠기의 경우 이득 조정회로를 구현하기 위해 사용된다.
    리포트 | 45페이지 | 10,000원 | 등록일 2011.12.01
  • 멀티플렉서와 디멀티플렉서
    기입하여라(데이터의 전송은 I, I₁, I₂, I₃에 접속된 스위치를 각각 연속적으로 단속하여 보며 전송을 하지 않을 때는 스위치의 위치를 접지 (0)측에 놓을것.)그림 8-10 4X1 ... = 0이면 Y = B가 된다. 74151은 8×1 MUX 회로인데, 이 IC 2개를 이용하여 16×1 MUX를 구성할 수가 있다.위 그림과 같이 (S3)선이 0일 때는 위 쪽의 MUX가 ... 사전보고서제출일학과전자공학과조학번조원이름성명Ch. 8 멀티플렉서와 디멀티플렉서- -1.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • 제 8장 (예비) 조합 회로 설계 실험.hwp
    이것을 n×m 디코더라고 한다.입력출력X Y ZD0D1D2D3D4D5D6D70 0 00 0 10 1 00 1 *************000100000000000000001 0 01 ... 인코더는 m개의 입력으로 n개의 출력을 만든다.입력출력D0D1D2D3D4D5D6D7X Y Z100001000010000100000000000000000 0 00 0 10 1 00 1 ... 2×1 먹스는 2개의 input과 1개의 output이 있는 회로이다. input이 2개 이므로 select는 1개이다.2×1 MUX 블록 다이어그램- 4×1 멀티플렉서?
    리포트 | 5페이지 | 1,500원 | 등록일 2007.10.11
  • 논리회로실험-멀티플렉서와 디멀티플렉서 결과 보고서
    상용 MUX가 아닌 논리게이트를 이용하여 4X1 MUX를 구성해보았다. 멀티 플렉서가 여러개의 데이터 입력을 선택입력의 변화를 통해 적은 수의 출력으로 전송하는 회로이다. ... 멀티플렉서의 실험을 완성화된 4X1 MUX인 74153을 이용하여 실험하였다.결과는 1번 실험을 통한 결과와 논리는 같았다.다른점이 있다면, 결과값 전압이 1번실험보다 좀 더 명확한 ... 5V4.67V00+5V+5V+5V0+5V111.8mV00+5V00+5V04.41V0+5V0+5V0+5V+5V20.3mV0+5V00+5V004.45V0+5V+5V0+5V+5V+5V30.3mV0
    리포트 | 14페이지 | 1,000원 | 등록일 2007.12.29
  • 멀티플렉서, 디멀티플렉서, 디코더 및 인코더 구조
    실험방법S2S1실험1.MUX (4 x 1)은 선택신호 S1, S2에 따라 A,B,C,D를 선택해서 출력을 하는데, 2비트 2진수로 동작하며, A=00, B=01, C=10, D=11로써 ... 이론(1) 멀티플렉서 (MUX: Multiplexer)- 멀티플렉서는 다수의 입력 신호, 선택 신호, 그리고 하나의 출력 신호로 구성된다. ... NC는 사용하지 않는 핀이며 8421 BCD코드에서 A는 1, B는 2, C는 4, D는 8에 해당된다고 할 수 있다.(3)디코더(Decoder)- 인코더와 반대되는 개념으로써 부호화된
    리포트 | 8페이지 | 1,500원 | 등록일 2008.04.15
  • 소비자선택이론
    X재의 한계효용(MUX): X재 1단위 더 얻을 때의 효용의 증가분=ΔU/ ΔX Y재의 한계효용(MUY): Y재 1단위 더 얻을 때의 효용의 증가분=ΔU/ ΔY 한계대체율 = X재의 ... 대상: 소비묶음 (consumption or commodity bundle, market basket) 하나 혹은 다수의 상품의 조합 x=(x1,x2,…,xN), y=(y1,y2, ... (즉, X재 1단위의 가치는 Y재 2단위와 같다) 따라서 X재 1단위 더 증가시 전과 동일한 효용을 유지하기 위해 포기해야 하는 Y재의 양은 2단위가 된다.무차별곡선의 네 가지 속성속성
    리포트 | 51페이지 | 2,000원 | 등록일 2010.05.28
  • Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    있던 혹은 8비트로 설계되어있던 mux2to1을 multiplexer라는 이름과 함께 16비트로 mux를 설계하는 부분.selectR 신호와 Areg신호, Z신호를 입력으로 하고 ... H=Breg ^ {n{AddSubR}};//wire H는Breg값과 n개의AddSubR 값이 xor연산.mux2to1 multiplexer (Areg, Z, SelR, G);/*기존에 ... function ... in file ... to (describe changes for each function).New CodeWe wrote new code consisting of X
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • 디지털논리회로실습 - 제 8장 멀티플렉서와 디멀티플렉서
    여기서 X는 don't care이다. ... 디지털회로실험예비 보고서(제 8장 멀티플렉서와 디멀티플렉서)학과학번성명1조컴퓨터공학과20040244김선습안현태안정민김성훈제 8장 Multiplexer와 Demultiplexer1. ... 간단한 멀티플렉서의 예로써① 2× 1 Line Multiplexer의 블록도 ② 4× 1 Line Multiplexer의 블록도4× 1MUX2× 1MUXA AY BC YB DS S0
    리포트 | 10페이지 | 1,500원 | 등록일 2008.12.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대