• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(334)
  • 리포트(314)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 181-200 / 334건

  • VHDL 8비트 CPU설계
    1. 연구제목8비트 CUP 설계2. 목적지금까지 배운 것을 기반으로 하여 8비트 CUP설계를 하여 구현을 해본다.3. ... 본론 내용ⅰ) CUP의 기본구성 및 동작원리ⅱ) 디코더 설계ⅲ) 레지스터 설계ⅳ) MUX 설계ⅴ) 가산기 설계ⅵ) ALU 설계ⅶ) 시프트 설계ⅷ) 종합적인 CUP설계4. ... 2 X 4 Decoder의 구조① 회로그림 ) 디코더 기본회로②소스library IEEEuse IEEE.std_logic_1164.all;entity Decoder24 isport
    리포트 | 29페이지 | 10,000원 | 등록일 2012.12.18 | 수정일 2019.05.30
  • 연세대 전기전자 기초실험 09년도 레포트 결과 8 Basic Logic Circuit Design
    made 8×1 multiplexer consists to two 4x1 mux and one 2x1 mux.4x1 mux code was in the textbook and we ... Next is 8x1 multiplexer verilog HDL code.module MUX_4_TO_1 (I0,I1,I2,I3,Y,S);inputI0,I1,I2,I3;input[1 ... could make 2x1 mux by application this.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.17
  • 실험8. 인코더와 디코더 회로 예비
    멀티플렉서와 디멀티플렉서를 이용하여 1개의 선로를 통한 4대의 전화를 연결하는 개략도를 작성하라.X0 -X1 -X2 -X3 -- Y0- Y1- Y2- Y34 × 1MUX1 × 4DeMUXS0 ... (Encoder)는 입력으로 10진식이나 8진식의 정보를 받아들여 2진식이나 BCD와 같은 코드로 변환시키는 조합회로이다. ... S1W0 W1 W2 W3□ 실험 준비물(1) 전원공급기 (GW GPC-3020A) 1대(2) 오실로스코프(3) 브레드보드· SN7400· SN7404· SN7408· SN7420·
    리포트 | 6페이지 | 1,000원 | 등록일 2013.02.02
  • Encoder, Decoder, Mux 예비레포트
    = Don’'t Care5.Simulation실험 1. 74LS153을 사용하여 4 to 1 MUX의 동작을 제작하여 검증하라.74LS153을 사용하여 회로를 구성하였다. ... BCD 코드를 7-segment 숫자표시기로 나타내는 등 여러가지 경우가 있다.※ 3 by 8 Decoder총 3개의 입력과 그것에 대응하는 8개의 출력변수를 갖는다.InputsOutputsA2A1A0D7D6D5D4D3D2D1D00000 ... 오전 10조3.Abstract부호화기(Encoder), 해독기(Decoder), MUX의 구조와 동작원리를 이해하고 응용하여 다양한 동작을 하는 회로를 구성할 수 있다.4.Background1
    리포트 | 14페이지 | 1,000원 | 등록일 2010.10.16
  • Verilog 4bit ALU Design (4비트 ALU설계)
    BXOR0 1 1 1 XF = A'Complement A1 0 X X XF = shr AShift right A into F1 1 X X XF = shr AShift left A into ... (Active Low)clkIn1Clock (Rising Edge에 동기)opcodeIn8제어신호aIn4연산자 좌측 데이터bIn4연산자 우측 데이터zout4연산 결과● 모듈의 Verilog ... 제어신호들의 집합이다.◇ 연산에 수행될 데이터는 단자 a 와 b 에 입력되고, 변형된 데이터는 단자 z 로 출력된다.◇ ALU 회로의 구조는 아래 그림과 같다.◇ 단자 opcode의 8bit는
    리포트 | 6페이지 | 3,500원 | 등록일 2009.11.26 | 수정일 2020.09.10
  • 디지털공학실험 12장 멀티플렉서를 이용한 조합논리 (예비)
    다른 방법은 ‘관련 이론’에서 보인 방식으로 8:1MUX 하나를 사용하는 것이다. 보고서의 표 12-1에 비교기에 대한 미완 상태의 진리표를 나타내었다. ... 그림 12-1(B)는 오버플로우 감지기에 대해 이러한 아이디어를 개념적으로 보여주고 있다.실제적으로는 오버플로우 감지 논리를 구현하는데 8-입력 MUX가 필요하지는 앟는다. ... 이 회로는 그림 12-2(B)의 회로보다 간단하나 동일한 기능을 한다.이번 실험에서는 8:1 MUX 하나를 이용하여 4-입력 진리표(16개 조합)에 대한 회로를 구현한다.
    리포트 | 9페이지 | 2,500원 | 등록일 2010.04.06
  • 전력전자 Inverter(인버터) Simulink구현 프로젝트
    그후 f(x) Function 블록에서 내가 원하는 sin파형을 선언해 주었다.우리는 이라는 기본적인 식을 f(x)에 넣어주었으며 두번째 세번째는 위상차의 표현을 위해서 각각 120도씩 ... 주었기 때문에, 계산해보면, 대략 = 8.3 에서 =16.6으로 0.6초 이후에 증가시킨 것이다. ... 통한입력 3상과톱니파의 파형 도시화2) [Sub-Block 2] Voltage Regulator 블록실제로 MUX를 통해서 받아온 반송파와 기준파의 비교를 통해서 SF1과 SF2를
    리포트 | 14페이지 | 2,500원 | 등록일 2012.02.13
  • atmega32 ADC&USART
    LED의 회로도는 왼쪽의 그림과 같다.②가변 저항가변저항(Potentiome-ATMEGA32에는 10비트의 ADC가 있으며 A포트(0~7번) 8개의 입력을 MUX하여 받을수 있다. ... WGM01:0, clock select 설정해준다.TCNT0=0x64; // {(255-x)+1}*1024/16M=10m [sec] 에서 x 구한 값.TIMSK=0x01; // Timer ... 1 , 1/8 , 1/64 , 1/256 , 1/1024)각자시간을 만들어 내는 것이 가능하다.1: 구하고자 하는 시간2: 1클락이 발생하는데 걸리는 시간3: 1카운트 할때 걸리는
    리포트 | 12페이지 | 2,000원 | 등록일 2013.12.10
  • verilog 4bit alu
    이때 논리연산은 4x1MUX로 and or xor not을 결정하고 2x1MUX로 산술(M=1)인지 논리(M=0)인지 결정한다. ... S1,S2,Cin을 입력받는다.M이 1이라면 산술연산을 하는데 S1,S2,Cin의 3bit에따라 8개 중 하나의 연산의 종류가 결정되고 M이 0이라면 논리연산을 하는데 S1,S2의 ... mbit0(A[0],B[0],S0,S1,G[0]);mux4t1 mbit1(A[1],B[1],S0,S1,G[1]);mux4t1 mbit2(A[2],B[2],S0,S1,G[2]);mux4t1
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.23
  • 예비04_Multiplexer Demultiplexer
    예비학습(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit mask ... buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의 2-State(2상태) 출력을 갖는다. ... {data input 개수}개 이며 효율성을 위해 data input은 2,4,8,16개를 주로 사용하고 그에 따라서 select input은 각각 1,2,3,4개를 사용한다. data
    리포트 | 5페이지 | 2,500원 | 등록일 2010.10.19
  • 4bit 가감산 계산기 설계
    ←SAHHH2C, A←A+BHHH4C, A←A-BHHH5No operationHLL나머지 micro operations회로에서는 8x4 Mux를 이용해 표현하였고 SEL 단자는 아래의 ... 1bit C 레지스터와 4bit A레지스터에 넣으라는 것이다. ... 시작하는 스위치는 synchronizer를 통해서 micro operation 1을 위한 pulse가 1에서 나오며 micro operation 2에서 데이터를 읽어 들인다. micro
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.28
  • 실험 4. 멀티플렉서와 디멀티플렉서 예비보고서
    . 74138 디코더와 74139 디코더1) 74138 디코더이 디코더는 NAND 게이트로 구성되어 있다.,,의 3개 입력과 8개의 출력을 갖는 3X8 디코더(3 to 8 디코더 또는 ... 멀티플렉서와 디멀티플렉서예비보고서(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR ... 선택입력S에 따라 결과 값을 조절할 수 있는 멀티플렉서로 작동했음을 확인하였다.(2) 다음 회로도의 Tri-state buffer와 inverter를 결선하여 2x1 Mux를 설계해
    리포트 | 7페이지 | 1,000원 | 등록일 2011.01.11
  • 16 bit Simple Microprocessor 구현하기
    이는 RF 로 연결된 2x1 mux 로 바로 이동한다.( 여기서 name 이 3x1 mux ,2x1 mux 이지 input 개수가 각각 3개, 2개인 것은 아니다. ... 디자인을 수정하였으며 원래의 그림에 맞춰서 이름을 그대로 사용해 주었다. )2x1 mux에서 rfs 를 10 을 선택해준다. 10 은 IR에서 2x1 mux 로 연결된 부분을 선택한다는 ... direct값을 호출하고 mre를 1로 한다음 rfs를 01로 해준다. rfs 가 01 이 되면서 2x1 mux에서 memory 주소값을 불러온다 .
    리포트 | 34페이지 | 4,000원 | 등록일 2009.10.06
  • 멀티플렉서,디멀티플렉서,엔코더,디코더
    멀티플렉서는 흔히 약자로 MUX라고 한다.4:1멀티플렉서 외에도 8:1멀티플렉서도 있는데 이는 다음 식과 같이 설명된다.보통개의 제어 입력을 갖는 멀티플렉서는개의 데이터 입력 중 어느 ... 예를 들어 2진수 0101을 입력하면 출력단자 X5만이 신호 1이 되도록 하는 것이다.A3A2A1A0X0X1X2X3X4X5X6X7X8X900001000000000000101000000000010001000000000110001000000010000001000000101000001000001100000001000011100000001001000000000001010010000000001표 ... 이번 예와 같이 입력단자에 5를 입력했을 때는, 출력단자에서는 10진수 5에 대응하는 2진수 0101이 나오게 된다.A0A1A2A3A4A5A6A7A8A9X3X2X1X010000000000000010000000000010010000000001000010000000011000010000001000000010000010100000010000110000000010001110000000010100000000000011001표
    리포트 | 8페이지 | 1,500원 | 등록일 2010.06.18
  • 3 소비자선호와 효용
    8 9 10총효용 (TUY)한계효용 (MUY)콜라 소비량총효용 (TUX)한계효용 (MUX)영화감상편수콜 라 (Y재)영 화 (X재)2.한계효용균등의 법칙 : 효용극대화의 원리제3장모든 ... (TUY)한계효용 (MUY)콜라 소비량총효용 (TUX)한계효용 (MUX)영화감상편수콜 라 (Y재)영 화 (X재)2.한계효용균등의 법칙 : 효용극대화의 원리제3장이상에서 살펴본 바와 ... 2 3 4 5 6 7 8 9 100 55 96 125 144 159 171 181 189 195 1990 55 41 29 19 15 12 10 8 6 40 1 2 3 4 5 6 7
    리포트 | 30페이지 | 4,900원 | 등록일 2011.08.20
  • 마이크로프로세서_3SC6400_암스_ARMS
    TESTsh Interface with x8 or x16 data bus.o Muxed OneNAND Interface with x16 data bus.o NAND Flash Interface ... with x8 data bus.o SDRAM Interface with x16 or x32 data bus.o Mobile SDRAM Interface with x16 or x32 ... 만약 1개라도 에러가 났다면 실패하게 된다. 그점에 유의하고 코드를 짜도록로그램 구현가.
    리포트 | 65페이지 | 4,000원 | 등록일 2012.10.24
  • VHDL을 이용한 16bit ALU 설계 및 파형
    --MUX 4x1Port ( sel : in STD_LOGIC_VECTOR (1 downto 0);In_0 : in STD_LOGIC_VECTOR (15 downto 0);In_1 ... 가산기C-out값ALUout : out STD_LOGIC_VECTOR (15 downto 0)); --ALU 출력값end ALU;architecture ALU16bit of ALU iscomponent MUX4to1 ... downto 0);beginselec(1) outAC outAC null;end case;elsif(AS_0='1') thencase cin iswhen '0' => outAC outAC
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • multiplexer
    6개의 입력 변수를 갖는 4×1, 8×1, 16×1 multiplexer를 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity mux ... mux_4_1;architecture test of mux_4_1 isbeginprocess(x,s)beginif( s="00" )thenf ... )thenf
    리포트 | 6페이지 | 2,000원 | 등록일 2009.06.05
  • Encoder, Decoder, MUX(Multiplex)의 작동원리 및 특징 실험 레포트
    Quartus 프로그램에서 0 신3.1mV)01xx1x1(4.42V)11xxx00(15.6mV)11xxx11(4.45V)Select에 따라서 입력을 골라서 그대로 출력하는 Mux이다 ... 이용하여 8 by 3 Encoder를 설계하고 실험을 통해 검증한다.4) Gate를 이용하여 8 by 3 Decoder를 설계하고 실험을 통해 검증한다.5) 74LS153(MUX) ... 74LS153을 사용하여 4 to 1 MUX의 동작을 제작하여 검증하라.1.
    리포트 | 25페이지 | 3,000원 | 등록일 2010.06.09
  • 아주대 ac 기전실 예비보고서 1 2 3
    짧은 주기를 갖는 신호나 긴 주기의 신호를 조절하여 스코프의 화면에 나타나도록 한다. ( X-Y : CH1의 전압변화가 X축에 , CH2의 전압변화가 Y축에 나타난다.* X-Y MODE ... CH 1, X IN 콘넥터입력 POSITION화면에 나타난 파형을 전체적으로 위 아래로 이동시킨다. ... 나타나는 파형의 초점이 조절되었다.Intensity파형의 밝기가 조절되었다.Y-position파형의 위치가 Y축으로 변화하였다.X-position파형의 위치가 X축으로 변화하였다.파트1에서는
    리포트 | 10페이지 | 1,000원 | 등록일 2013.09.01
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대