• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(334)
  • 리포트(314)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 201-220 / 334건

  • 아주대논리회로실험 4장.멀티,디멀티플렉서 예비(기본구성+빵판+예상결과)
    이 회로를 확장하여 선택 핀과 데이터 입력을 늘리게 되면 4x1, 8x1, 16x1 등의 먹스를 만들 수 있다.다음은 4x1 먹스의 회로도이다. 2개의 선택입력 S0, S1을 이용해 ... 4개의 입력원 D0, D1, D2, D3 중 하나를 선택하여 그 값을 출력 Y로 보낸다.IC로 만들어진 MUX는 다음과 같다.※ single는 1개, dual은 2개, quad는 ... 이론(1) 멀티플렉서멀티플렉서(이하 먹스, MUX)는 여러 개의 입력 중 원하는 입력을 출력으로 내보내는 일종의 데이터 선택기(switch or selector)이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.21
  • 4개의 입력과 1개의 출력을 가지는 회로를 여러 가지 방식으로 표현하고 이를 VHDL로 표현
    VHDL 코드 구성(4) Mulitplexer를 이용한 회로의 VHDL 표현 ① 8X1 MUX에서 불러올 2X1 MUX를 우선 설계해야 한다.3. ... VHDL 코드 구성(4) Mulitplexer를 이용한 회로의 VHDL 표현 ② 앞장에서 설계한 2X 1 MUX를 component, port map문을 사용하여 불러온다. ... . 0000부터 1111까지 16개의 경우의 수를 시뮬레이션한 결과와 진리표의 값들을 비교해 보았을 때 일치함을 확인할 수 있다. 16개의 결과를 한 줄에 다 담을 수 없어 각각 8개씩
    리포트 | 34페이지 | 7,000원 | 등록일 2010.06.24
  • 2012 경제학입문 윤영상교수 중간고사 대체과제
    1억원 ② 현재의 시장가격인 2억원 ③ 보상가격인 8천만원④ 정부에 무상으로 기증하였으므로 0 ⑤ 3년 동안의 물가상승률을 감안한 1억 4천만원5. ... MUx/Px?MUy/Py일 때, 소비자는 어떻게 해야 효용을 극대화할 수 있는가? ... 총수입-총비용8. 이윤극대화가 달성되기 위한 조건은 무엇인가? P=AR=MR=MC9.
    리포트 | 6페이지 | 1,500원 | 등록일 2012.10.29
  • 디지털 논리 실험, 멀티플렉서와 디멀티플렉서, 인코더, 디코더 결과 보고서
    이러한 기능을 verilog로 작성한 뒤 Digital Circuit Design Training Kit를 이용해서 확인하였다.Ⅱ 결과토의사항MUX_8_TO_1.vmodule MUX ... Ⅸ 실험결과0. 4×1 MUX(0) 소스코드module MUX_4_TO_1 ( I0, I1, I2, I3, Y, S );input I0, I1, I2, I3;input [0:1] S ... I1, I2, I3, Y1, S[1:2] );MUX_4_TO_1 b( I4, I5, I6, I7, Y2, S[1:2] );MUX_2_TO_1 c( Y1, Y2, Y, S[0] );endendmodulemodule
    리포트 | 11페이지 | 2,000원 | 등록일 2009.07.18
  • Mux & Demux(멀티플렉서)
    이 회로를 확장하여 선택 핀과 데이터 입력을 늘리면 4X1, 8X1, 16X1 등의 먹스를 만들 수 있게 된다.다음은 4X1의 회로도이다. 2개의 선택입력 S0, S1을 이용해 4개의 ... buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의 2-State(2상태) 출력을 갖는다. ... 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit mask 기능은 다음과
    리포트 | 9페이지 | 1,500원 | 등록일 2009.09.29
  • 스포츠 경제학
    재화 한 단위를 더 얻는 대가로 포기해야하는 Y 재화의 수량을 의미 소비자의 주관적인 X 재화와 Y 재화의 교환비율 △ Y/ △ X = MUx / Muy ④ 무차별 곡선은 원점에서 ... 탄력성 = 수요변화율 / 가격변화율 * a - b : 2 * b - c :1.25 * c - d : 0.8 수요곡선의 가운데 지점의 탄력성은 1 중간으로부터 윗부분은 탄력적 ( 탄력성 ... 수요와 공급 4) 수요의 탄력성 탄력성과 수요곡선 모양 – 같은 수요곡선에서의 탄력성 비교 기울기 = y 축의 변화량 / x 축의 변화량 * 기울기는 어느 점에서도 1 로 같다 .
    리포트 | 50페이지 | 4,000원 | 등록일 2013.10.14
  • MUX & DEMUX
    파일을 작게 해주는 것을 인코딩, 본래대로 재생하는 것을 디코딩이라고 한다.2. 81 MUX와 26 DEMUX를 설계하고, 설계한 회로도의 논리도를 완성하시오.1)8 x 1 MUX진리표ABCOUTPUT000D0001D4010D2011D6100D1101D5110D3111D72 ... MUX & DEMUX5. 실험 고찰1. ... MUX와 DEMUX의 응용분야에 대해 실제 예를 들어가면 기술하시오.1) MUX의 응용분야멀티플렉서의 한 예로 라디오의 튜너를 들 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.30
  • Chapter 8(pre)
    8-5. verilog HDL source for 4x1 MUX와 1x4 DEMUX1-2. decoder and encoderDiscrete data is expressed as ... /F table.module MUX_4_TO_1 (I0, I1, I2, I3, Y, S);inputI0, I1, I2, I3;input[1:0]S;outputY;regY;always ... To sum up, output function can be expressed as follows.X =+++Y =+++Z =+++Fig 8-7 depicts 8×3 encoder
    리포트 | 14페이지 | 1,000원 | 등록일 2011.04.04
  • [스포츠경제학] 소비자의 경제학 - 효용과 무차별곡선, 소비자 균형, 소비자 잉여, 여가의 선택, 생산자 잉여
    X재(혹은 Y재) 한 단위를 추가로 소비하였을 때 증가하는 소비자 효용의 증가분을 X(Y)재의 한계효용(限界效用, marginal utility, MUx, MUY)라고 정의한다.소비자가 ... ‘슛돌이’군은 축구 관람에서는 1 만원 당 1만 8 천원(9만원/5만원)을 얻지만 음악회 관람에서는 1만원 당 2천원(1만원/5만원)밖에 얻지 못하기 때문에 축구장에 가려고 할 것이다 ... {X의 ~한계효용} over {X의 ~가격} ~만원의 비용에 자신은 9만원어치의 만족을 ‘노래해’양은 1만원 정도의 만족을 느낀다고 생각하고 있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.28
  • 잔상 LED
    대신에 8-Channel MUX가 있어서 PF0부터 PF7까지 모두 8개의 핀중 하나를 연결해 줄수 있도록 되어있다. ... 따라서 1개 이상의 아날로그 입력이 필요하다면 10Bit A/D Conversion Logic을 MUX로 8개를 사용하는 것처럼 제어해야 한다.위 표는 ATmega128 ADC의 코드값이다 ... 일반적인 매직스틱은 8개의 LED를 한 줄로 배치하고 각 LED를 8비트 출력 에 개별적으로 연결하여 8x8영문 폰트를 세로 방향으로 한 줄씩 순차적으로 점등하는 간단한 장치였다.
    리포트 | 28페이지 | 5,000원 | 등록일 2011.01.07 | 수정일 2014.03.14
  • 1-bit Full Adder and 8-bit carry select Adder Design
    그리고 각각의 x, y에는 A0~A3, B0~B3 이, z에는 C0, C1, C2, C3이 Input으로 입력되었다. ... 그림에서 볼 수 있듯이, 위의 Verilog File과 동일하게 x, y, z 를 Input으로 가졌고, s, c를 Output으로 가지는 하나의 1 Bit Full Adder이다. ... 그리고 5개의 Mux를 확인할 수 있었다.
    리포트 | 7페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • VHDL MUX and DEMUX(vhdl prelab 입니다)
    Design a 2x1 MUX- Describe its input output signals2x1 MUX의 입력신호는 각 I0, i1로 두 개의 bit가 존재하며, 선택신호인 sel이 ... 출력은 출력 선택 신호에 의해 선택된다.◎ 8 입력 MUX – 74LS151그림는 74LS151의 8 입력 MUX의 로직 심볼을 나타내고, 그림는 74LS151 내부의 논리회로를 나타내고 ... 그리고 이것들을 조합하여 발생하는 출력신호 Z 또한 1bit 신호이다.- Describe its functional behaviors앞의 이론부분에서 이미 2x1 MUX의 동작과정에
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • 디지털 논리 실험, 멀티플렉서와 디멀티플렉서, 인코더, 디코더 예비 보고서
    (표 2. 8×3 인코더의 진리표)인코더는 OR 게이트로 구성되며 이에따른 출력함수 X, Y, Z는 다음으로 표현된다., ,(그림 4. 8×3 인코더)Ⅲ. ... Verilog HDL Code1) 1. 4×1 MUX의 Verilog HDL 코드module MUX_4_TO_1 ( I0, I1, I2, I3, Y, S );input I0, I1, ... 기본이론1) 멀티플렉서(MUX : multiplexer)는 복수개의 입력선으로 부터 필요한 데이터를 선택하여 하나의 출력선으로 내보내는 회로이다. 그림 1.
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • H264/AVC를 위한 디블록킹 필터의 효율적인 구조
    , Normal Filter, Strong Filter로 입력 각각의 필터링 된 8개의 픽셀 중, 적합한 픽셀값이 MUX에 의해 출력Control UnitStrong Filter ( ... 매크로블록 필터링을 위해 216 cycles 소요 - Luma : (32x4)+8 = 136 cycles / Chroma : 2x(8x4+8) = 80 cycles FPGA 구현 ... Luma8x8 Chroma (Cb)8x8 Chroma (Cr)UB: Upper Block LB: Left Block CB: Current Block인터리브 방식에 의해 수평 필터링
    리포트 | 30페이지 | 2,000원 | 등록일 2012.01.28
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 I-예비,결과 보고서
    위의 진리표를 바탕으로3-to-8 line decoder를 설계하시오.③ 4:1 MUX의 동작을 조사하고 진리표를 작성하고 4:1 MUX를 설계하시오.-> 4:1 MUX는 4개의 ... ,11일 때 D3로 입력받는 값을 출력한다.Select InputData InputoutputS0S1D0D1D2D3000XXX0001XXX101X0XX001X1XX110XX0X010XX1X111XXX0011XXX11④ ... 회로지연시간도 같다.3) Schematic을 이용한 4:1 MUX 설계?
    리포트 | 8페이지 | 1,000원 | 등록일 2009.01.25
  • 제안서 샘플입니다. 심플하고 이쁩니다. 참고용으로 쓰세요
    JVC 사의 EFP-ENG 겸용 카메라인 GY-HD250U 8 세트로 녹화 , 편집이 가능하도록 구성 EFP 20X Zoom lens (cannon 2 대 KT20X5BKRS EFP ... for Dual Redudancy Power V-1610 1 ea - Wide-Band Video Distribution Amp V-6153 4 ea - HD Mux V-6363Q ... Wide Converter WCV-82SC 1 ea 1-14-2) 20 x Zoom Lens HTS-18x4.2 2 ea 1-14-3) Wide Zoom Lens Th13x3.5BRM
    리포트 | 17페이지 | 1,500원 | 등록일 2012.04.23
  • 12멀티플렉서를 이용한 조합논리-예비,결과보고서
    다른 방법은 ‘관련 이론’에서 보인 방식으로 8:1 MUX 하나를 사용하는 것이다. 보고서의 표12-1에 빅기에 대한 미완 상태의 진리표를 나타내었다. ... forSign BitsErrorMUX8:1A4B4SMALLSUM _{ 4}X0000D00011D10100D20110D3X1000D41010D51101D61110D7SelectC B ... 이 회로는 그림 12-2(b)의 회로보다 간단하나 동일한 기능을 한다.이번 실험에서는 8:1 MUX 하나를 이용하여 4-입력 진리표 (16개 조합)에 대한 회로를 구현한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2008.11.16
  • 실험 4. 멀티플렉서와 디멀티플렉서
    예 비 보 고 서(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit ... buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의 2-State(2상태) 출력을 갖는다. ... 74HC139을 이용한 1x4 디멀티플렉서입력출력DS1S0Y3Y2Y1Y01XX111100011100011101010101101101113.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.03.10
  • [논리회로실험] Mux and Demux (예비)
    2x1 Mux3) 74138, 74139 디코더 칩의 구조와 동작에 대해 설명하시오.① 74HC/HCT138(3-to-8 line decoder/demultiplexer; inverting ... 연습문제1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit mask 기능은 ... 즉, 2개의 AND 게이트는 선택 입력인 S를 이용해 D0와 D1 중 어떤 것을 통과시키고(pass) 차단할(clear) 것인가를 결정할 수 있다.설계한 2x1 Mux2) 다음 회로도의
    리포트 | 10페이지 | 1,500원 | 등록일 2009.03.20
  • 디코더인코더
    이런 식으로 인코더가 동작하게 된다.I0I1I2I3I4I5I6I7A0A1A2D000000000000100000000001X10000000011XX1000000101XXX100000111XXXX10001001XXXXX1001011XXXXXX101101XXXXXXX11111 ... 5-9에 대해서 (1)을 반복하여 표 5-7에 기입하라.(6) 책의 그림 5-7에 대해서 (1)을 반복하여 표 5-8에 기입하라.5. ... 시뮬레이션 :- BCD-to-10진 디코더- 8-to-2진 인코더- 우선순위 인코더- 4-to-1 멀티플렉서- 2-to-1 멀티플렉서- 1-to-4 디멀티플렉서6.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.03.28
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:24 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대