• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(334)
  • 리포트(314)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 121-140 / 334건

  • 전전컴설계실험2-7주차결과
    : 1 MUX 회로를 설계하시오입력A : BUS Switch 1,2입력B : BUS Switch 3, 4입력S : BUS Switch 8출력Q : LED 1, 2-실험 이론2x1 ... Test Bench 파일에 입력 변수의 조건을 설정해주고, Simulation Runtime조정 뒤에 Test Be실험 이론4x1 MUX : Y= S1’S0’I0+S1’S0I1+S1S0 ... Simulation을 통해 실험 예상값을 확인한다..7. 2:1 Mux 의 Test Bench 파일을 생성한다.8.
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 마프-결과보고서-광센서를 이용한 LED 제어
    사용// ADLAR = "0" 디폴트 오른쪽 정렬// MUX(4:0) = “00000” ADC0 사용, 단극 입력ADCSRA = 0x87;// ADEN = '1' ADC를 Enable ... ;// ADC start conversion, ADSC = ‘1’while ((ADCSRA & 0x10) ! ... < 8) | adc_low;return value;}void show_adc(unsigned short value){if (value < CDS_VALUE) // 기준값 미만이면PORTA
    리포트 | 6페이지 | 2,000원 | 등록일 2015.07.27
  • 컴퓨터시스템구조 연습문제 4장 풀이
    각 멀티플렉서는 16개의 데이터 입력을 가지므로 4개의 선택 입력이 있다.b. 16x1의 MUX가 필요하다.c, 레지스터가 32비트이므로 32개의 MUX가 필요하다.4-7. ... R1에 더함x prime y:R`1 larrow R`1+1 R1을 하나 증가시킴여기서 R1은 병렬로드를 가진 카운터이고, R2는 4비트 레지스터이다.풀이)4-12. ... 각 레지스터는 8비트이고T _{o}에서T _{3}까지의 타이밍 변수에 의해 다음과 같이 전송 동작이 지정되어 있다.T _{0} : R5←R0T _{1} : R5←R1T _{2} :
    리포트 | 7페이지 | 1,000원 | 등록일 2016.01.07
  • SOC설계및실습 Mux~ALU Report
    Mux 4x1Mux 4x1 코드입니다.이코드를 바탕으로 test bench코딩을 합니다.1~5 : 라이브러리는 같은방식으로 선언합니다.6 : 입출력포트는 지정하지 않습니다.8~14 ... SoC 설계실습 ReportMux4x1~ALU제출일담당교수학과학번이름1. ... 위 2의 1x4 Decoder때와는 달리 입력핀이 2개이므로 downto 를 사용하지않아도 된다.디코더 1x4때와 크게 다를건없다. (1 downto 0)로 했을때는 process부분에서
    리포트 | 9페이지 | 2,000원 | 등록일 2014.06.20
  • 디지털실험 결과 #4
    [주요코드]▶ 코드분석8-bit X, Y를 input으로 하고 S를 Selection pin, 그리고 8- bit M을 ouput으로 하는 8-bit 2-to-1 MUX 구현. ... 각각의 assign은 2-to-1 MUX 8개.▶ Timing분석속도가 가장 빠른 SW[11] ~ LEDR[11] path는 9.785ns이고, 속도가 가장 느린 SW[17] ~ LEDG ... [주요코드]▶ 코드분석Part 2에서 만든 2- to-1 MUX의 조합을 이용하여 3-bit 5-to-1 MUX 구현[Compile]▶ Compile 분석Total Logic elements
    리포트 | 7페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 아주대학교 논리회로실험 실험4 예비보고서
    MUX라는 약어로 표현되기도 한다.4X1 멀티플렉서의 논리식은 Y = S1'S0'I0 + S1'S0I1 + S1S0'I2 + S1S0I3 이다.그림 3-57 ?? ... (1) 74HC11과 74HC04를 이용하여 Enable입력을 갖는 1x4 디멀티플렉서를 다음 회로와 같이 구성한다. ... 엔지니어로서의 자세: 기술상의 업무에 대한 솔직한 비평을 구하고, 수용하고, 제공하며, 오류를 인정하고 수정하며, 타인의 기여를 적절히 인정한다.8.
    리포트 | 10페이지 | 1,500원 | 등록일 2019.02.20
  • AD컨버터 예비보고서 마이크로컨트롤러
    6주차 실험(4) 예비보고서제 8장 UART제 9장 A/D 컨버터제 8장 UART1. ... 패리티는 No Parity, Stop Bit는 1, 전송 문자의 데이터 비트수는 8비트, 흐름제어는 없음으로 한다. ... 비트 4~0 : MUX4~0 (Analog Channel and Gain Selection Bit)ADC 모듈의 아날로그 입력채널을 선택하는 비트(4) ADCSRA(ADC Control
    리포트 | 21페이지 | 1,000원 | 등록일 2017.04.02
  • 실험4결과 MUX&DMX
    Mux입력 D0~D3은 임의로 설정하였고, Select signal을 조작함에 따라 우측 DeMux부분의 출력이 달라지는 것을 확인할 수 있다.5) 1X8 Demultiplexer의 ... 제작 시도한정된 칩 종류를 가지고 1X8 Demultiplexer를 제작하려 하였다. ... 컴퓨터를 비롯한 각종 통신분야에서는 저항으로부터 생기는 전압변화 뿐 아니라 Demultiplexer의 출력회로를 꾸밈으로써 다양한 용도로 분화시켜 사용한다.4) MUX-DeMux 연결4X1
    리포트 | 6페이지 | 3,000원 | 등록일 2014.05.13
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 결과 보고서
    Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥14< 초록 (Abstract) >이번 실험은 1bit 16x1 mux / 1x16 demux와 4bit 4x1 mux / ... Results of this Lab (실험 결과) ‥‥‥‥‥‥‥‥‥‥‥ 8가. Results of Lab 1. ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 8나. ... 회로의 실행 상태에 관계없이 항상 활성화 되어 있어 0, 1, x, z중 한 가지 값으로 net를 계속 drive한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 서강대학교 디지털논리회로실험 4주차결과
    출력되게 된다. 4-to-1 MUX 2개를 이용하여 신호를 묶어주고, 뒷단에 2-to-1 MUX를 붙여 8개중 하나의 신호만 output에 전달될 수 있게끔 하였다.③ Demultiplexer ... Multiplexer3 input Logic을 MUX를 이용하여 구현한 회로이다. s0,s1,s2가 각각의 input들이며 이 신호들에 따라 w0-w7의 8개 신호가 output에 ... XOR, XNOR Truth tableXYXORXNOR*************101이때 XOR의 Logic Equation은 다음과 같다.X OPLUS Y`=` {bar{X}} BULLET
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • AD컨버터 예비보고서
    =0xC0; // ADMUX 레지스터 중에서 6,7번째 레지스터를 세트REFS(1:0) = "11" internal 2.56V 전원 사용ADLAR = ‘0’ 디폴트 우정렬MUX(4: ... {C0,C1,C2,C3};unsigned char FND_DATA_TBL[]={0x3F, 0X06, 0X(char selCx, unsigned char data);SIGNAL(SIG_OVERFLOW0 ... 비트를 1로 세트한다.TCCR0 = 0x07; // Timer/Count0의 동작을 설정WGM0(1:0) = "00" 일반동작모드COM0(1:0) = "00" OC0사용하지 않음SC0
    리포트 | 10페이지 | 1,000원 | 등록일 2015.11.09
  • 논리회로실험) Decoder / Encoder / 7-segment LED
    ) 입력 개수에 따라 2 x 1 encoder , 4 x 2 encoder , 8 x 3 encoder- 2ⁿ x n : 입력선과 출력 선은 다음과 같은 관계를 가진다.4) enable ... - 저번 실험에서 이용했던 MUX 에 대해 생각해보면 , MUX는 여러 개의 입력으로 데이터 신호와 선택 신호 , 그리고 하나의 출력 선으로 구성되어 있어 여러 개의 입력선 중 하나를 ... 이 0이면 출력이 동작하지 않고 , enable 이 1일 때 동작한다.- 지난 실험에서 쓰인 MUX , DEMUX 와 이 부분은 동일하다.5) valid 는 enable 이 1이거나
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.06
  • 2015년1학기 아이캠퍼스 경제학입문 중간고사 대체과제물
    두 재화 X, Y의 최종단위의 한계효용이 MUx=2MUy의 관계에 있다면 다음 중 소비자 균형이 달성되는 경우는? ... 십분위 분배율을 소수점 둘째 자리까지 구하 라. 1소득계층점유비율(%)제1분위3제2분위5제3분위6제4분위7제5분위8제6분위9제7분위11제8분위12제9분위15제10분위24① 0.54 ... MUx/PxMUy/Py일 때, 소비자는 어떻게 해야 효용을 극대화할 수 있는가?
    리포트 | 6페이지 | 1,500원 | 등록일 2015.07.13
  • 디지털실험 예비 #4
    고생한 만큼 무언가를 얻어간 것 같았고, 현장 실험에서도 잘할수 있겠다는 자신감이 생겼다.2. 8-bit 2-to-1 MUX을 완성하여라.타이밍도에서 보는것과 같이 SW의 value를 ... “1z0*************00”를 넣었을 때는 SW[17]이 “1”이므로 Y값에 들어간 값인 SW[15:8]의 value가 LEDG에 켜졌다. 2번째 타임에서는 “0z0101010110110100 ... ”를 넣었을때도 마찬가지로 ‘0’이므로 X값의 input SW[7:0]의 값이 들어가 LEDG에 value들이 켜졌다.3. 5-to-1 MUX를 구현하시오.입력대비 출력값SWLEDRLEDG0000101011100111110000101011100111110100010101011100111110000101011100111111010100101011100111110000101011100111111100110101011100111110000101011100111110110000101011100111110000101011100111111115
    리포트 | 8페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 디지털회로실험 11장. 멀티플렉서/ 디멀티플렉서
    위 식은 네 개의 변수들로 구성된 함수에서는 세 개의 선택선과 여덟 개의 입력선을 가진 8X1 MUX가 필요하다.? ... 또한 멀티플렉서는 MUX라는 약어로 표현되기도 한다.? ... 그림 11.11은 8-1 멀티플렉서 74LS151의 핀접속도이다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.04.07
  • 디지털로직실험 12장 멀티플렉서를 이용한 조합 논리
    다른 방법은‘이론 요약’에서 설명한 8:1 MUX를 사용하는 것이다. 실험 보고서의 표 12-1에 비교기에 대해 일부분만 완성한 진리표를 나타내었다. ... 진리표의 각 행은 MUX에서의 8개 입력 중 하나와 일치한다는 것에 주의 하여라. 001과 110은 MUX의 D₁과 D? ... C₁C₂C₃Y①XXXXXX10②000XXX00③001XXX01④01X0XX00⑤01X1XX01⑥10XX0X00⑦10XX1X01⑧11XXX000⑨11XXX101● 회로 구성 사진 &
    리포트 | 8페이지 | 4,500원 | 등록일 2013.06.22 | 수정일 2021.02.02
  • VerilogHDL 가산기 정의와 카르노맵, 논리회로, TB 시물레이션,파형분석과 고찰
    경우에 따라 4x1, 8x1 등 멀티플렉서를 설계할 수 있다.[3] 2 to 1 Multiplexer Module & TB1. 코드2. Simulation3. ... 테스트벤치는 Verilog 언어를 이용하여 모델링 되었으며, 시뮬레이션으로 논리동작을 검증하고 결과 파형을 분석하여 설계가 올바로 되었음을 증명하였다.# [Multiplexer(MUX ... Full Adder 진리표 및 SUM, Carry 카르노맵ABCSUMCarry0*************00110110010101011100111111SUM=X x Y x ZC= XY
    리포트 | 13페이지 | 1,500원 | 등록일 2015.05.08
  • 센서를 활용한 자동화 사거리 신호등 설계 방안
    )- Basic Circuit composition북(R)4x1Mux북(G)4x1Mux북(Y)4x1Mux동(R)4x1Mux동(G)4x1Mux동(Y)4x1Mux북(L)4x1Mux... ... Device and settings소자설명총갯수소자설명총갯수4x1 Mux회로(R=red, G=greenY=yellow, L=left)동.서.남.북 4방향R,G,Y,L 1개씩,16개2진 ... (Mod-8카운터)D-FlipFlop3개2진(Mod-16카운터)D-FlipFlop4개다이오드Red - 4개Greed - 4개Yellow - 4개Left - 4개16개X-tal 클럭
    리포트 | 10페이지 | 1,500원 | 등록일 2012.10.30
  • atmege128을 이용한 습도경보기
    따라서 ADEN을 1로 한다ADMUX |= 0x40은 ADSC비트를 1로 하여 A/D변환을 시작한다.uint16_t get_adc(uint8_t channel)은 MUX를 조작하여 ... 최소값은 GND값.void adc_init(void)가 컨버터를 초기화 하는 함수로 ADMUX = 0x40으로 하여 ADC의 기준 전압을 AVCC단자( 0x40) 전압인 5V로 선택한다 ... 비트 7의 ADEN을 1로 하면 A/D컨버터의 모든 동작이 허용. 0으로 하면 모든 동작이 금지된다.
    리포트 | 49페이지 | 4,000원 | 등록일 2014.06.03
  • 스톱워치(stop watch) 설계 프로젝트
    lap버튼으로 enable시켜 준다면 7490이 카운팅 하다가 정지했던 시간을 7segment에서 표시 해줄 것이다.(6,7 조건 성립)5진 카운터MUXMUXMUXMUXMUXMUXMUX1kHz3x8 ... (첫번째 7447은 각 MUX의 첫 번째 라인, 두 번째 7447은 각 MUX의 두 번째 라인 등으로 설정)구조적으로 디코더를 통해 첫 번째 7segment의 Vcc를 출력할 때 MUX에서도 ... 블록 단위의 설명10 Hz1kHz10 Divider50MHz5 Divider50MHz의 자체 내부클럭을 5divider와 10divider를 사용하여 7segment와 MUX를 control
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:57 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대