• 통큰쿠폰이벤트-통합
  • 통합검색(333)
  • 리포트(313)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 321-333 / 333건

  • [디지털 회로실험] 멀티플렉서와 디멀티플렉서
    8-5(4)그림 8-13과 같은 회로를 결선하고, 입력 E, A, B의 변화에 따른 출력 D, D, D, D를 측정하여 표 8-6을 완성하여라.입력출력EA BD0D1D2D30X X000010 ... (Y)A B(S0)(S1)I0 I1 I2 I30X X0 0 0 010 00 11 01 11 0 0 00 1 0 00 0 1 00 0 0 1표 8-6 1×4 디멀티플렉서 진리표A BD0D1D2D30 ... 얻어진 표 8-5가 전 가산기의 합(S)과 자리올림 (C)의 이론치와 일치하는지 비교하여라.입력출력EA BD0D1D2D30X X000010 010000 101001 000101 10001E
    리포트 | 6페이지 | 1,000원 | 등록일 2001.10.30
  • [디지털회로] 디지털실험
    입력 회선이 네 개이고, 이들 중 하나만 줄력 회선이 연결될 수 있는 4 x 1멀티플렉서에 대한 진리표는 표 4-13과 같다. ... -1-Line Multiplexer74158 Quad 2-Line-to-1-Line Multiplexer with Inverting Outputs74251 8-Line-to-1-Line ... 종류를 나타내었다.74151 8-Line-to-1-Line Multiplexer74153 Dual 4-Line-to-1-Line Multiplexer74157 Quad 2-Line-to
    리포트 | 12페이지 | 1,000원 | 등록일 2003.11.22
  • [논리회로] 시프트 레지스터
    {INPUTOUTPUTCLRPRLOADDCLKQ01XXX0(CLEAR)10XXX1(PRESET)00XXX-110XXNO CHANGE1111↑1(Q=0)111X↓NO CHANGE(2) ... 입력 3개, 출력 4개 7pin8bit의 sipo 레지스터가 된다.3 측정 결과가 예비보고서와 일치되지 않는 부분이 있으면 밝히고 그 이유를 설명하여라.(3) 링 카운터{표 3입력출력SW1SW2Q0Q1Q2Q30 ... 이때 LIN, RIN의 입력값은 무시된다.4) CLR=1, CLK=상승에지(↑), S1S0=00 일때는 상태변화가 없다.하지만 외부상으로 없는 것으로 보이나.이때의 경우는 MUX
    리포트 | 10페이지 | 1,000원 | 등록일 2004.07.23
  • [디지탈 논리회로]디지탈 논리회로
    n 인코더라 함 인에이블을 갖는 인코더는 1 x 2n x n 인코더라 함인에이블 8x3 인코더 진리표인에이블을 갖는 8 x 3 인코더디코더적은 정보나 한 시스템에서 사용하는 정보의 ... 연결시켜 주는 조합 회로 데이터 선택기(data selector) 여러 입력 중에서 하나를 선택하기 위해 선택선이 사용 n개의 선택선을 갖는 멀티플렉서는 입력이 2n 개까지 가능 MUX라고 ... + 1 = 1 2a. 1 · 1 = 1 2b. 0 + 0 = 0 3a. 0 · 1 + x` = 1 9. x`` = x논리함수의 간략화불 대수의 기본법칙 10a. x · y = y
    리포트 | 52페이지 | 1,000원 | 등록일 2004.03.29
  • [컴퓨터 시스템 구조] 중앙처리장치
    = (A+B)*(C+D)ADD R1, A, B R1 ← M[A] + M[B]ADD R2, C, D R2 ← M[C] + M[D]MUL X, R1, R2 M[X] ← R1 * R2▷ ... 8 중앙처리장치8.1 개 요○ 중앙 처리장치(Centeral Processor Unit; CPU)- 컴퓨터에서 데이타 처리동작을 수행하는 부분을 말하며, 줄여서 CPU라 한다▷ CPU의 ... R2MOV X, R1 M[X] ← R1◎ 1-주소(One-Address) 명령어- 모든 데이타의 처리는 AC에 의해 이루 어진다- 곱셈이나 나눗셈을 할때는 또 다른 레지스터가 하나
    시험자료 | 28페이지 | 1,500원 | 등록일 2002.06.15
  • [경제학] 경영통계 숙제
    cov(XY) =SUM SUM (x- mu x)(y-muy)P(x,y)1.mux=E(x)= SUM xP(x)=(1 TIMES 0.7)+(2TIMES0.2)+(3TIMES0.1)=1.42 ... +0.144= 0.24=sigma y= SQRT { 0.24} =0.49(X+Y) = E(X) + E(X) = 1.4 + 1.4 = 2.8V(X+Y) = V(X) + V(Y) + 2cov ... = cE(x) ={( cx1+cx2+ CDOTS cxn)} over { N} = {c( x1+x2+ CDOTS xn)} over { N} =CE(x)1.
    리포트 | 5페이지 | 1,000원 | 등록일 2003.04.18
  • 디코더와 인코더 멀티플렉서 디멀티플렉서
    6-4 74LS153의 진리값을{표 6-2에 완성하고 표 6-1{과 비교하여라{S2S1D3D2D1D0Y00XXX0000XXX1101XX0X001XX1X110X0XX010X1XX1110XXX0111XXX1표 ... 표 6-3이나 표 6-4는 둘다 같은 작동방식에 의해 작 동하므로 거의 동일한 8×1 DEMUX라고 볼수 있다. ... 만일 8*1 멀티플 렉서면 제어선 은 3개가 필요하 다. (2N에서 N개 이므로) 그런 데 이번실험에서는 제어선이 3 개가 쓰였는다.
    리포트 | 7페이지 | 1,000원 | 등록일 2001.09.13
  • 컴퓨터의 개요
    .0##2.2.2 2진수와 8진수 및 16진수간의 상호변환(101001110111)_2 = (5167)_8##{101 } over {5 }`` { 001} over {1}``{110 ... 레지스터 : 정보를 일시적으로 기억시켜 컴퓨터의 처리 속도를 향상시키기 위한 고속 기억매체@멀티플렉서(Multiplexer: MUX) : 많은 입력 신호를 가지며 출력신호는 1개로 ... ``.101~110)_2##&=(1235.56)_8(276.3)_8 &`=`(010~111~`110.011)_2##&`=`(10111110.011)_2##&`=`(1011~1110.0110
    리포트 | 30페이지 | 1,000원 | 등록일 2003.06.19
  • [디지털 공학] 인코더와 디코더
    }V0000XX00001001001X01101XX1011XXX111V에 의해 지정된 유용한 출력은 하나 또는 그 이상의 입력이 1일 때만 1로 지정. ... 그림 6.3은 BCD-to-3초과 코드 변환회로이다.{{4.그림 6.4회로를 구성하고, 표6.6과 같은 입력을 할 때, 불이 켜지는 LED램프의 번호를 기입하시오.{{7.멀티플럭서[MUX ... }{I{`_{ 5 }I{`_{ 6 }I{`_{ 7 }I{`_{ 8 }I{`_{ 9 }DCBA1 0 0 0 0 0 0 0 0 00 1 0 0 0 0 0 0 0 00 0 1 0 0 0
    리포트 | 9페이지 | 1,000원 | 등록일 2002.04.07
  • [금속재료공학] 마모시험
    m▶온도센서: K-type thermocouple▶Disc 시편: 30, 60 mm 직경 x 8 mm 두께▶Pin 시편: 5 mm 직경 x 15 mm 길이▶Ball 시편: 5.95 ... 실험 방법1 마찰면 연마 : 상, 하부시편의 마찰면을 #800 emery Paper로 수평연마(0.8 ㎛ Roughness유지)2 연마후 Acetone(CH3COOH)또는 Methanol ... mm, 12.7 mm 직경▶Interface : R&B MUX Serial Link Interface Module▶Software: R&B sequence control and data
    리포트 | 12페이지 | 1,000원 | 등록일 2002.03.25
  • [광통신공학] 광통신공학
    *SONET1)개요* 미국 표준 협회(ANSI)가 표준화한 고속디지털 통신을 위한 광전송 시스템 표준규격* STS-1(51.840Mbps) x n 신호로 구성* 광케이블 전송에 적용 ... * n개의 서로다른 파장(λ1, λ2, ... λn)의 신호를 DWM MUX로 다중 송신하고* 수신측에서는 DWM DEMUX로 신호를분리하는 방식임3)구현방법* Filter형: 파장 ... *8,000샘플링*기능①광신호와 인터페이스 표준제공②고도의 망운용관리보수(OAM)기능제공③B-ISDN 응용을 위한 유연한 구조④저속도(DS-1,DS-2)계위(PDH), 동기식디지털계위
    시험자료 | 6페이지 | 2,000원 | 등록일 2002.05.02
  • 소비자선택론
    소득 제약설과 동일)2) 작도{M~=~₩ 1,000{P_x ~=~₩100{P_y ~=~₩ 200{YA{54 B3 C H2 DG1 E0 2 4 6 8 10 X{M~=~ P_x ·X ... 법칙)+-여러재화를 소비할 때 재화의 모든 가격이 동등한 경우-단순한한계효용균등의법칙+-모든 재화의 가격이 서로 다른 경우 - 가중된 한계효용 균등의 법칙단순한한계효용균등의법칙 = MUx ... 20 X0 X1 M/P2 M/P1 X{PxP0 AP1 BD0 X.PCC의 형태와 X재의 수요탄력성의 관계1 PCC가 X축에 평행일 때 : X재의 {e~=~1{Y{PCCIC2IC10
    리포트 | 6페이지 | 2,500원 | 등록일 2001.10.04
  • [정보처리기사시험] 전자계산기구조
    2진수 1자리 뺄셈기.4) 멀티플렉서(Multiplexer : MUX)― 2n 개의 입력선 중에서 하나를 선택하여 출력 선으로 전달하는 회로. ... (P1 P2 8 P3 4 2 1)2) 수치적 데이터 표현Pack 형식10진 표현Unpack 형식정수부호와 절대치수의 표현2진 표현(고정 소수점)부호와 1의 보수부호와 2의 보수실수 ... 조합회로의 최소화― 불 대수를 이용하거나 도시법(Karnaugh map)을 이용한다.1) 도시법을 이용한 최소화① 서로 이웃한 '1' 들을 묶는다. ( 16 > 8 > 4 > 2 )
    시험자료 | 16페이지 | 1,500원 | 등록일 2001.04.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:40 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대