• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(334)
  • 리포트(314)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 141-160 / 334건

  • 멀티플렉서를 이용한 조합논리
    그림 9-2(b)는 오버플로우 검출에 대해서 이 방법을 개념적으로 설명하고 있다.실제로 8입력 MUX로 오버플로우 검출 논리를 수행할 필요가 없다. ... 어떤 출력이 A≥B를 나타내는지 결정하고 진리표 9-1의 X칸을 완성한다. 처음 두 개의 칸이 예로써 완성되어 있다.2) 두 개의 그룹으로 된 X의 출력을 조사한다. ... 나머지 칸을 0, 1, B1 또는 으로 채워 넣어서 표 9-1을 완성한다.InputOutputConnect Data to:A2 A1 B2B1X0 0 00 0 001100 0 10 0
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.20
  • MUX&DEMUX 결과레포트
    _{1}S _{0}I _{0}I _{1}I _{2}I _{3}F1XXXXXX8mV0000XXX119mV0001XXX4.44V001X0XX119mV001X1XX4.43V010XX0X119mV010XX1X4.43V011XXX0119mV011XXX14.43VI ... 1. 실험제목: MUX & DEMUX2. ... 위의 표를 비교하면 a 와 b의 실험값이 같음을 알수 있다.2) DEMUX( a ) ( b )① 회로를 구성하라.< a의 회로를 breadboard에 시연 > => 74138 3X8
    리포트 | 7페이지 | 1,000원 | 등록일 2012.11.22
  • 논리회로실험 결과 5
    입력을 내보내는 MUX와 1개 입력에 대해2 ^{n}개 출력을 내보내는 DEMUX를 이해했다. ... Decoder 구현2X4 디코더는 S1, S2 두 개의 입력에 대해 4개의 비트 출력을 내보낸다. ... 기존의 디코더는 4개의 입력이라면, 16개의 출력을 나타내imalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0011061110111111011171101111111DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0100081011111111100190111111111또한
    리포트 | 11페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.10.01
  • TTL IC를 이용한 8비트 논리 연산 회로
    (4X1 MUX)위와 같이 IC번호를 붙였고 IC번호를 참조하여 회로판을 구성해 보았습니다.IC1는 7408로 AND게이트의 기능을 가지고 있는데,IC의 1A와 1B는 입력이고 3번 ... BXOR11E=ANOT아래 그림은 논리회로를 TTL IC를 사용해서 자세히 나타낸 것이다. 4 X 1MUX는 ORCAD 프로그램을 사용하여 74153IC를 택하였다.TTL을 이용한 ... 데이터의 비트조작이나 논리적인 결정을 내리는데 자주 사용 된다.☞ 각 게이트가 정해진 논리 연산을 수행하고 이 결과들 중에서 하나를 멀티플렉서로 선택하여 최종 출력 값을 결정한다.☞ 4x1
    리포트 | 11페이지 | 2,500원 | 등록일 2014.01.29 | 수정일 2015.12.21
  • 전기전자기초실험 Chapter 8 Combination Logic Circuit DesignReport
    of the 8x1 MUX ... Cascading two of 4x1 MUX and one 2x1 MUX is the one, and cascading one of 4x1 MUX and four of 2x1 MUX ... Logic equation of this 8x1 MUX is Z=A`B`C`I0+A`B`CI1+A`BC`I2+A`BCI3+AB`C`I4+AB`CI5+ABC`I6+ABCI7T/F table
    리포트 | 5페이지 | 1,000원 | 등록일 2011.12.18
  • [verilog]Modified Booth Multiplier 설계
    cout2;wire [8:0] sum0, sum1, sum2;mux_sel M1(x,X_sel_0, X2_sel_0, NEG0, pp1);mux_sel M2(x,X_sel_1, X2 ... _sel_1, NEG1, pp2);mux_sel M3(x,X_sel_2, X2_sel_2, NEG2, pp3);mux_sel M4(x,X_sel_3, X2_sel_3, NEG3, pp4 ... X_sel_3, X2_sel_3;wire NEG0, NEG1, NEG2, NEG3;wire [8:0] pp1, pp2, pp3, pp4;wire [8:0] cout0, cout1,
    리포트 | 13페이지 | 3,000원 | 등록일 2012.04.30 | 수정일 2021.05.07
  • ATmega128을 이용한 탁구 서비스 머신
    인터럽트 입력단 포트 D와 연결하여 하강에지로 동작한다.DC 모터Quad 2 to 1 MUX IC인 74157을 이용하여 동작을 제어스텝 모터와 마찬가지로 TR과 다이오드를 이용하여 ... = 0x0; // 도트 매트릭스 관련 레지스터TCCR3B = 0x02; // 타카3 이용TCNT0 = 0x0;TCNT2 = 0x0;TCNT3H = 25536 >> 8; // (1/ ... = 0) // 자동 모드일 때{PORTB = (rval & 0b00000011) | (PORTB & 0b11111100); // OCR0와 OCR2를 2-1 MUX로 무작위로 읽어
    리포트 | 23페이지 | 2,000원 | 등록일 2014.10.01 | 수정일 2016.04.14
  • vhdl 4x1 mux 코딩 다른버젼
    '+ABC'+A'BC'+ABC'+ABC+ABC'=AC'+BC'=AB※결론 및 고찰4x1 MUX를 주어진 결과 값 만을 보고 역으로 맞춰 가는 과정이었다. ... 학과 : 전자재료공학과학번 :이름 :과제 5. 4 X 1 MUX 구현(진리표,K-MAP,LOGIC DIAGRAM,SIMULATION)< TRUTH TABLE> < K-MAP >F=AB'C ... MUX symbol을 사용하는것보다는 과정이 복잡하긴 하지만 정확한 계산값을 통해 역으로 추론해 나가는 과정이니 만큼 실수를 줄일 수 있었고
    리포트 | 1페이지 | 1,000원 | 등록일 2008.06.12
  • ATmega128, LM35f를 이용하여 온도계 만들기와 Fan작동 시키기
    기준전압 2.56V 를 사용 7 6 5 4 3 2 1 0 REFS1 REFS0 ADLAR MUX4 MUX3 MUX2 MUX1 MUX0 * AVCC 가 연결되어 있고 캐패시터가 연결된 ... ADPS0 ADPS2 ADPS1 ADPS0 분주비 0 0 0 2 0 0 1 2 0 1 0 4 0 1 1 8 1 0 0 16 1 0 1 32 1 1 0 64 1 1 1 128레지스터 ... , 0x92, 0x82, 0xD8, 0x80, 0x98}; // 세그먼트 표시 값 int main(){ DDRA = 0xFF; DDRC = 0xFF; DDRB = 0xFF; DDRE
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.17
  • Hierarchical Combinational Design Using MSI Macros
    (r7,x2,x3,x4);nand (n3,r5,r6,r7);assign d = n3;//e’=(x2/&/x3)/&/x4nand (r8,x2,~x3);nand (n4,r8,~x4); ... r0,r1,r2,r3;mux mux0(~d,~a,a,r0);mux mux1(d,~c,c,r1);mux mux2(~r0,~e,e,r2);mux mux3(r2,r1,r1,r3);mux ... ◆ExperimentDesign a 2-1 multiplexerSelOut0A1Bout= sel’A + selB// Verilog Codemodule mux( a, b, sel, out
    리포트 | 14페이지 | 1,000원 | 등록일 2012.02.11
  • 미시거시경제학 중간고사
    1억원 ② 현재의 시장가격인 2억원 ③ 보상가격인 8천만원④ 정부에 무상으로 기증하였으므로 0 ⑤ 3년 동안의 물가상승률을 감안한 1억 4천만원3. ... MUx/Px?MUy/Py일 때, 소비자는 어떻게 해야 효용을 극대화할 수 있는가? ... ① 독점기업 ② 이기심의 역할 ③ 정부의 역할 ④ 보호무역 ⑤ 이타심의 역할8. 가격이 500원일 때 1,000장 팔리던 비누가 가격이 700원으로 오른 후에는 900장팔렸다.
    시험자료 | 9페이지 | 1,500원 | 등록일 2017.06.03
  • Decoder, encoder와 multuplexer, demultiplexer 예비 report
    이론적으로SN74LS151은 8×1 MUX이다. 8개의 입력 핀은 D0~D7 = 4, 3, 2, 1, 15, 14, 13, 12이고 7번은 Enable 핀으로 High일 때만 TTL이 ... ) 블록표시도그림 10.8 1X8 디멀티플렉서5. ... 입력 3개에서 출력 8개가 나오면 3 x 8 디코더라 하며, 최대 2n개의 출력항인 최소항(minterm)을 만들 수가 있으며, 다음 표와 같이 An, Bn, Cn-1 의 3개의 입력과
    리포트 | 13페이지 | 2,000원 | 등록일 2015.11.01
  • 마이크로프로세서 응용 및 실험. 센서를 이용한 LED DOT MATRIX 점등하기
    set⑶ Analog to Digital Converter (ADC)① ADC Multiplexer Selection Register ( ADMUX )Bit76543210REFS1REFS0ADLARMUX4MUX3MUX2MUX1MUX0Read ... }};// Dot matrix에 출력할 숫자 배열volatile unsigned char line1[8]={0x01,0x02,0x04,0x08,0x10,0x00,0x00,0x00}; ... 0 비트와 함께 송/수신 비트의 크기를 설정- Bit 1 : Receive Data Bit 8 ( RXB8 )9-bit 동작 모드에서전선과 흰색 전선의 역할을 위의 전선과 같다.②
    리포트 | 25페이지 | 5,000원 | 등록일 2013.01.02
  • 멀티와디멀티
    XNOR이므로 Mux를 이용해서 XNOR회로를 만들었음을 알 수 있습니다. ... 나머지 입력 {01}의 경우 I1, 입력 {11}의 경우 I3에 데이터가 전송되며, 다른 부분은 전송이 안 되어 (X)표시가 됩니다. ... 1 0 00 0 1 00 0 0 1진리표 8-65.
    리포트 | 10페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 실험4) UART & A/D컨버터 실습 11, 12, 13
    flag 클리어소스코드소스코드 해석ADMUX = 0xC0;REFS(1:0) = “11”ADLAR = ‘0’MUX(4:0) = “00000”ADCSR = 0xA6;ADFR = ‘1’ ... Stop bit1번째, 2번째 비트 세트 즉, UCSZ0(1:0) = “11”로 세트 데이터 비트수를 8비트로 결정7.3728 MHz -> 115200 bpsUSARTn모듈의 송수신 ... ) == 0x00);lowValue = ADCL;highValue = ADCH ;adcValue = (highValue < 8) | lowValue ;}sei();}ADMUX 레지스터
    리포트 | 11페이지 | 1,500원 | 등록일 2015.11.12
  • 마이컴ADC_USART
    ADC 관련 레지스터① ADC Multiplexer Selection Register ( ADMUX )Bit76543210REFS1REFS0ADLARMUX4MUX3MUX2MUX1MUX0Read ... Character Size를 8bit로 설정UBRRH = 0;UBRRL = 103;// USX=0.( UCSRA=0x00 )// 9600bps. ... ADC의 구조 및 기능- 10-bit ADC 1개- 8개의 입력신호 처리 가능- AVCC, 외부 AREF 핀 전압, 내부 2.56V전압2.
    리포트 | 14페이지 | 3,000원 | 등록일 2012.11.30
  • [4주차] Multiplex
    is -- 2 to 1 MUX. 8 to 1 MUX설계의 기본이되는 entity를먼저 설계한다.Port ( i : in STD_LOGIC_VECTOR (1 downto 0); -- ... w와 같고, 01이라면 x와 같으며, 10이라면 y와 같고, 11이라면 z와 같다. ... to 1 Mux는 2 to 1 Mux를 사용하여 설계된다. )2.
    리포트 | 11페이지 | 2,000원 | 등록일 2012.06.30
  • 비동기 제어 신호를 갖는 마스터 슬레이브 dff (스위치 모델)
    D rising D0 1 X X 11 0 X X 00 0 X X 0목차Latch_m (switch 레벨 소스)Latch_s_a (switch 레벨 소스)Mux_n (switch 레벨소스 ... )Tb_dff_ms_a(rtl 레벨소스)과 출력 파형(작성자:탁형옥 2012.8.10)`timescale 1ps/1psmodule latch_m(output q,input en,input ... gnd;nmos #pd2 T1(n,d,en);mux_n M1 (q,gnd,out0,clrn);mux_n M2 (out0,vcc,n,prn);pmos #pd1 T3(n,q,en);endmodule
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.10
  • 경제학원론 - 제 4장 소비자의 선택
    무차별곡선의 접점MUx PxMUx Py=MUx MUyPx Py=소비자의 최적선택각 상품에 지출한 돈 마지막 1원당의 한계효용이 서로 같아지도록 선택함으로써 효용이 극대화됨을 의미 ... 소득 동일비율 상승 : 효과없음예산선그림 4-8 소득과 가격의 변화소비자의 최적선택최적선택의 조건그림 4-9 소비자의 효용극대화소비자의 최적선택효용극대화 조건 최적선택점 : E점 예산선과 ... + Py y y = – x +Px PyM Py예산선예산선의 변화 소득의 변화 : 예산선의 평행이동 가격의 변화 : 예산선의 회전 동일비율 가격상승 효과 = 소득감소 효과 가격 및
    리포트 | 28페이지 | 3,000원 | 등록일 2013.03.08
  • Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현
    이는 16bit 감·가산기의 마지막 올림값인 Cout과 modeS0의 XOR 을 이용하였다.16bit 감·가산기 내부에서는 4X1 MUX를 이용하여 입력 값 a0~a15에 입력 값 ... 자세한 회로의 과정은 뒤에서 설명한다.8.8.8. ... 그래서 10진수 0,1,2,3,4,5,6,7,8,9 각각에 해당하는 2진수 값을 넣는 과정이다.10진수2진수2^{ 15}2^{ 14}2^{13}2^{12}2^{11}2^{10}2^{
    리포트 | 31페이지 | 3,000원 | 등록일 2016.08.16
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대