• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(334)
  • 리포트(314)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 81-100 / 334건

  • 논리회로 설계실험 mux
    2x1 MUX를 이용하여 8x1 MUX를 구현 할 수 있다.5) when else구문에 대해서 익힌다.2. ... (그림 2)그림 2x1 MUX를 이용한 8x1 MUX diagram즉, 2x1 MUX에 필요한 1비트의 선택선을 3 level로 연결하여 3비트의 선택선으로 이용하는 것이다. ... Problem Statement① Describe what is the problem.Purpose: 2x1 MUX 7개를 이용하여 8x1 MUX 1개를 구현해 내는 것?
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 전기전자기초실험 Combination Logic Circuit Design 결과레포트 (영어)
    I1.endcaseendendmodulemodule MUX_8_TO_1 (I0,I1,I2,I3,I4,I5,I6,I7,S0,S1,S2,Y); //Design 8 to 1 MUXinput ... The button A : D3The button no.4 : D4 The button no.5 : D5 The button no.6 : D6 The button B : D7○ ○ ○X ... MUX(M2),MUX_2_TO_1 M3(W1,W2,Y,S2); //and the output of M1,M2 go to 2 to 1 MUX(M3).
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.01
  • 디지털시스템실험 12주차 결과리포트
    =4'b0111 Load R0 R0 00;SRAM[8] = 13'b0010000010100; // PC = 4'b1000 Load R1 R1 00;SRAM[9] = 13'b] ... Y);output C, S;input X, Y;xor x0(S, X, Y);and a0(C, X, Y);endmodulemodule full_adder(C,S,X,Y,Z);output ... ( S == 1'b0 )OUT = D0;else if( S == 1'b1 )OUT = D1;endendmodulemodule MUX4to1(D3,D2,D1,D0,OUT, S1, S0
    리포트 | 10페이지 | 2,000원 | 등록일 2018.01.03
  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    마찬가지 A가 B보다 큰 경우, A가 B보다 작은 경우에 대하여 각각 X, Y의 값이 1을 출력하도록 작성되었다. ... MUX를 예로 들어 본다. MUX의 구성도는 다음과 같다.여기서 선택입력 S1, S0의 조합에 따라 출력 Y로 나타나는 입력이 결정 된다. ... 42344&categoryId=42344>고찰입력 A와 B에 대하여 A의 전송, A의 가감산, A의 증가, A와 B의 비트단위 AND, OR ,XOR연산 그리고 A의 NOT연산 등 8가지
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [결과레포트]
    High값이 출력되고, 나머지 출력단자에서는 Low값이 출력됨.3x8 디코더 : 3개의 입력선과 8개의 출력선을 갖는 디코더표 SEQ 표 \* ARABIC 1 3x8 디코더 진리표CBAO7O6O5O4O3O2O1O00000 ... 설정한다.회로는 아래 그림 2와 같이 설계한다.그림 SEQ 그림 \* ARABIC 2 2bit 2:1 MUX응용 과제 : 4:1 MUX- 총 4개의 입력 값이 존재한다.- S0와 ... S1의 입력을 설정하여 4개의 입력 값 중 우리가 원하는 값을 출력할 수 있도록 한다.그림 SEQ 그림 \* ARABIC 3 4:1 MUX2.
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • CRPWM을 이용한 PMSM 속도제어
    x연산자를 통해 곱해준다. ... 이제 그림 [3.3.6]에서 왼쪽 위에서 아래로 Mux 1,2,3번이고 오른쪽 위에서 아래로 Mux 4,5,6번이다. 1,4번과 2,5번과 3,6번은 서로 위상이 반전된 파형이 형성됨을 ... PID제어기는 다음과 같은 전달함수를 가진다.G _{c} (s)=K _{p} +K _{I} /s+K _{d} s [8] (3.5.1)P는 오류의 현재값을 설명한다.
    리포트 | 19페이지 | 3,000원 | 등록일 2018.11.18
  • Lab#05 Combinational Logic Design 2
    S0=0이라면 X0를 출력하게되고, S1=0, S0=1이면 X1을 출력, S1=1, S0=0이면 X2를 출력, S1=1, S0=1이라면 X3를 출력하게 된다. ... Prelab1. 3:8 Decoder7나. Prelab2. 2:1 Mux9다. Prelab3. 2bit 2:1 Mux11라. Prelab4. 4:1 Mux134. ... Methods1) 3:8 Decoder Logic design가) 프로젝트를 생성한다.
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • MUX & 7-SEGMENT LED DECODER
    ///////////////////// 2x1 Multiplexer ///////////////////////////////////////////////////// ... //////////////always @( * )begin case (select)0 : mux_out ... 1.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.03.13
  • 논리설계실험 chap03 mux설계
    .- Case 구문을 이용하여 2x1의 MUX를 설계- 2x1을 이용하여 7개의 MUX를 이용하여 8x1 MUX 구현 설계- Case 구문만으로 8x1 MUX 구현 설계- If-then-else ... 이 때 선택 선으로 제어를 하면서 한 채널에 다수의 정보를 순차적으로 보낼 수 있을 것이다.( 실 습 1 )- Case 구문을 이용하여 2x1 MUX를 이용하여 8x1 MUX를 구성 ... 8x1 MUX를 설계했을 때 8개 중 어떤 정보를 output으로 내보냈는지 알아내기 위해서 최소한 8가지를 모두 표현해주는 3bit 이상이 되어야 할 것이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2008.10.26
  • Combinational Logic Design Ⅱ Decoder, Encoder and Mux
    2. 2x1 MUX 회로Source핀 설정Text FixtureSimulationInlab 3. ... High값이 출력되고, 나머지 출력단자에서는 Low값이 출력됨.3x8 디코더 : 3개의 입력선과 8개의 출력선을 갖는 디코더MUX(Multiplexer)멀티플렉서 또는 데이터 선택기여러 ... 3.응용과제2비트 2 : 1 MUX 회로를 설계하시오입력 A : BUS Switch 1,2입력 B : BUS Switch 3, 4입력 S : BUS Switch 8출력 Q : LED
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • 현대물리실험 x선
    (T=e ^{ - mux } or LnT=-mux) 흡수 물질을 다르게 함으로써 엑스선의 감쇠의 차이를 확인하고 파장에 따라 달라짐을 확인한다. ... 감쇠와 흡수체 두께mu 이론값(m^-1)mu 측정값(m^-1)오차율(%)1.571.3712.74%X-ray 광원과 흡수체, 검출기가 수평을 이루지 못해 발생한 오차가 있었다. ... 감쇠와 흡수체 물질의 종류1) 지르코늄 거르개를 사용하지 않은 경우(발생 전압:30kV, d=0.05cm)흡수체ZI/mA측정시간/초R/초^-1없음0.04303134C60.04302917Al130.04302118Fe261.00300104Cu291.0030017.8Zr401.00300198Ag471.003001142
    리포트 | 6페이지 | 1,000원 | 등록일 2018.05.24
  • 05-논리회로설계실험-예비보고서
    )- MUX : multiplexer- 다중화기, 데이터 선택기- 여러 개의 입력 신호 중 하나를 선택하여 출력에 전달해주는 역할을 하는 회로이다.여기서 X는 “0”또는 “1”어느 ... 실험 내용- 실험 1. 4:1 MUX를 설계하시오.(1) 4:1 MUX1) 진리표2) 모델링 (Procedure 사용)모델링library IEEE;use IEEE.STD_LOGIC_ ... 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 8논리회로설계
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • (예) 12. 멀티플렉서를 이용한 조합 논리
    진리표의입력출력A4B4∑4X*************1101000101011011110MUX8:1D0D1D2D3 YD4D5D6D7SelectCBA각 행은 MUX에서의 8입력중 하나와 ... ∑4X0000∑400110100001101000010101101∑4'1110이번 실험에서 8:1 MUX를 사용하여 16개의 조합을 가진 4입력 진리표를 구현 할 것이다.먼저 특이한 ... 그 외 MUX의 다른입력들은 LOW상태가 유지되므로 D1과 D6라인 이외의 입력 중 하나가 선택되면 LOW가출력으로 나가게 되어 결국 진리표를 구현하게 된다.실제로 8입력 MUX
    리포트 | 5페이지 | 1,000원 | 등록일 2015.12.11
  • 전자계산기구조 핵심요점정리(직접작성한내용)
    멀티플렉서=MUX 주요역할:통신 (스위치역할도 가능)2 ^{n}(입력개수) x 1(출력개수) (n:선택선) 예)4x1MUX , 8x1MUX블록도 (S0,S1의 값에따라 어떤 입력값이 ... 디멀티플렉서:DEMUX 주요역할:수신1(입력개수) x2 ^{n}(출력개수) (n:선택선) 예1x4DEMUX, 1x8DEMUX블록도? ... 당 비트 수(8비트를 1워드로 사용하는 cpu 기준)n = 주소선용량 :2 ^{a} x b1.
    리포트 | 7페이지 | 1,000원 | 등록일 2016.11.30
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    $tot1, t0 }의 입력을 통해 8가지의 산술 연산을 수행한다.Arithmetic Circuit은 다음과 같은 회로 구성을 통해 구현할 수 있다.4.1.2 Logic CircuitLogic ... Y);output C, S;input X, Y;xor x0(S, X, Y);and a0(C, X, Y);endmodulemodule full_adder(C,S,X,Y,Z);output ... C, S;input X, Y, Z;wire C0, C1;wire S0;// First half adder instancehalf_adder h0(C0, S0, X, Y);// Second
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    Adder/Subtractor*Adder/subtractor: control input determines whether add or subtract-Can use 2x1 mux : ... The result P = A x B is to be displayed on HEX1 and HEX0.? ... *조건-SW11-8, SW3-0으로 16진수 숫자 2개 (A와 B) 입력-A는 HEX6에 B는 HEX4에 각각 출력-곱셈의 결과 P는 HEX1-0에 출력(2) Process?
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 전자전기컴퓨터설계실험2(전전설2)5주차예비
    결과값 중 하나만 1의 값을 가지며 나머지는 0을 갖는다.예를 들어 3x8 디코더에서의 경우 3개의 입력과 8개의 출력을 갖는다.다음과 같은 진리표를 통해 8개의 And gate 와 ... 디코더와 비슷한 구조로 입력값 중 하나만 1의 값을 가지며 나머지는 0을 갖는다.예를 들어 4x2 인코더를 보자.이를 통해 And gate 1개와 3개의 OR gate , inverter를 ... 모델링하면 쉽게 할 수 있다.2to1 mux의 경우엔 if문으로 작성하였고 4to1 mux의 경우엔 case문으로 작성하였다.
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    실험 목적이번 실험은 MUX와 DEMUX에 대해 상세하게 알아보고, Xilinx프로그램을 활용하여 VHDL코드로 2x1 MUX와 74LS138 1x8 DEMUX구현하는 것이다. ... 이 회로를 확장하여 선택 핀과 데이터 입력을 늘리게 되면 4x1, 8x1, 16x1 등의 먹스를 만들 수 있다.다음은 4x1 먹스의 회로도이다. 2개의 선택입력 S0, S1을 이용해 ... Design a 2x1 MUX- Describe its input output signals2x1 MUX의 입력은 i0와 i1의 두개의 bit이고, 출력은 Z이고 1bit이다. 2x1
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • Combinational-Logic-Design-Ⅱ-Decoder, Encoder and Mux
    High값이 출력되고, 나머지 출력단자에서는 Low값이 출력됨.3x8 디코더 : 3개의 입력선과 8개의 출력선을 갖는 디코더MUX(Multiplexer)멀티플렉서 또는 데이터 선택기여러 ... .2비트 2 : 1 MUX 회로를 설계하기 위해 Source를 작성한 후 프로젝트에 Source를 추가한다.2비트 2 : 1 MUX 회로를 Synthesize – XST, Implement ... Fixture장치에 입력한 결과I0 : 11, I1 : 01, S : 0I0 : 11, I1 : 01, S : 1Inlab 2. 4 : 1 MUX 회로Source핀 설정SimulaI
    리포트 | 19페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 마이크로프로세서(ATMega128)실험 AD컨버터로 광센서 읽기
    ADMUX(ADC Multiplexer Selection Register) : A/D 컨버터 멀티플렉서 선택 레지스터76543210REFS1REFS0ADLARMUX4MUX3MUX2MUX1MUX0 ... 입력 전압은 AD 변환이 종료될 때까지의 시간, 전압을 일정하게 유지해야 하는데 이는 A/D 컨버터 앞단의 샘플/홀드 회로를 통해 수행된다.)→ ATmega128의 A/D 컨버터는 8채널의 ... 사용하여 출력PORTG = 0x0F; //0~3비트까지의 비트를 1로 세트TCCR0 = 0x07; /* Timer/Count0의 동작 설정WGM0(1:0) = “00”일반동작모드COM0
    리포트 | 8페이지 | 1,000원 | 등록일 2016.02.15
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대