• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(334)
  • 리포트(314)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 21-40 / 334건

  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    산술 연산은 가산, 감산, 증가, 감소 등의 8가지 기능을 수행하며 MUX와 ADDER로 구성된다. 이들 기능은 선택단자 S1, S0 및 Cin에 의해 선택된다. ... Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(4) 실험 2에서 설계된 심볼을 이용하여 의 8x4 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라 ... 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2) 의 2x1 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(3) 의 4x1
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • <디지털회로실험> 멀티플렉서와 디멀티플렉서, 패리티발생기와 검사기
    저항 330Ω 4개, 함수 발생기-실험 결과실험 1) 74LS153 MUX 회로S2S1D3D2D1D0Y00XXX0000XXX1101XX0X001XX1X110X0XX010X1XX1110XXX0111XXX1실험 ... DC power supply, 74LS138(DeMUX) 1개, 적색 LED 8개, 저항 330Ω 8개실험 3) Breadboard, DC power supply, 74LS86 1개 ... -사용기기 및 부품실험 1) Breadboard, DC power supply, 74LS153(MUX) 1개, 적색 LED 1개, 저항 330Ω 1개실험 2) Breadboard,
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. 시뮬레이션을 통해 올바르게 코딩을 했는지 확인한다. ... A>B인 경우에 X에는1을 Y에는0을 Z에는0을 넣는다. ... 고찰(1)이번에는 실습할 문제들이 상당히 많았다. 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 2020학년도 1학기 출석수업대체과제물 디지털논리회로
    1 멀티플렉서를 이 용하여 설계하시오.먼저 최소항의 변수 A,B,C를 MUX에 할당했다.주어진 부울함수로 진리표, 구현표를 작성하였다. ... 무관조건d(w,x,y,z)= SMALLSUM m(0,`2,`5) 를 갖는 다음 식을 간소화하시오.F(w,x,y,z)= SMALLSUM m(1,3,7,11,15)8. ... 부울함수F(x,y,z)= SMALLSUM m(1,`2,`3,`4,`5,`7) 를 NAND 게이트로 구현하시오.※교재 5장(주관식문제 5, 8번)9.
    방송통신대 | 10페이지 | 6,000원 | 등록일 2020.05.27
  • 서울시립대학교 전전설2 5주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Encoder의 반대 로직이다.추가적으로 이번 실험에서 만드는 3x8 Decoder의 진리표이다.(4) MUX(multiplexer)N개의 입력 데이터 중 하나를 선택하고, 선택된 ... 연결- else if문을 활용한 방법3:8 decoder by ifTest benchSimulation 결과Pin 연결(5) Lab 5- 2비트 2:1 MUX 회로를 case 문을 ... 결과Pin 연결(4) Lab 4- 아래의 3x8 디코더를 if 와 else if 문을 사용하여 디자인 하시오.
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 논리회로의 간소화, 멀티플렉서를 이용한 조합논리 예비레포트
    두 항목의 그룹으로 되어 있는 출력 X를 주시하라. X 기재 항목의 첫 번째 쌍은 B1의 해당 기재항목과 보수 관계에 있다. ... 다른 방법은 ‘관련 이론'에서 보인 방식으로 8:1 MUX 하나를 사용하는 것이다. 보고서의 표 12-1에 비교기에 대한 미완 상태의 진리표를 나타내었다. ... 이 회로는 그림 12-2(b)의 회로보다 간단하나 동일한 기능을 한다.이번 실험에서는 8:1 MUX 하나를 이용하여 4-입력 진리표(16개 조합)에 대한 회로를 구현한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 결과 보고서
    논리회로와 진리표를 구성하면 아래와 같다.같은 방법으로 8*1 MUX의 진리표와 논리회로를 알아보자. ... 어떤 출력이 A≥B를 나타내는지 결정하고 진리표 9-1의 X칸을 완성한다. 처음 두 개의 칸이 예로써 완성되어 있다.두 개의 그룹으로 된 X 출력을 조사한다. ... X는 A≥B일 때 1을 나타내고, 그 반대일 때 0을 나타내면 될 것이다.
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
  • 레포트_힘과 일 결과보고서 (일반물리실험)
    M = 154g W = 154g X 9.8 = 1.509N2~3.경사각FxWx = Wsinθ{ 1 } over { 2 }{ F_{ x } -W_{ x } } over { F_{ x ... - Ff =muX mg X cos? ... M'g =0.05 ×9.8 = 0.49θ = 25˚6. Fx = Wsinθ = 1.5092 X sin25˚ = 0.638N7. Fx = W'인가?
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • 디지틀 논리회로 실험8 멀티플렉서와 디멀티플렉서
    1토론 7404 , 7420 을 이용해 스위치와 A,B 의 값에 따라 어떤 값을 내보낼지 정할 수 있는 4X1 MUX 를 만들었는데 이후 74151 IC 를 통해 더 간단하게 4X1 ... MUX 를 만들었다 . ... 0 1 OrCAD CAPTURE 을 사용해 구성한 8-13 회로멀티플렉서를 이용한 전 감 산기 입력 I 0~7,A,B,C 에 따른 출력 C,S 를 구한다 1 1 1 1 0 0 0
    리포트 | 15페이지 | 3,000원 | 등록일 2019.10.11 | 수정일 2021.11.15
  • [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    에서 생성한 전가산기 4개와 2x1 MUX 4개를 이용하여4bit인 이진수로 표현되는 정수 A, B (A: A4 A3 A2 A1 B: B4 B3 B2 B1) 두 비트를 더하거나 빼는 ... 것이 가능한 4-bit 가감산기를 설계하였다.2x1 MUX를 이용하여 MODE에 따른 입력값 변환을 하였다.이 4-bit 가감산기는MODE에 0을 입력할 시에 A와 B를 그대로 더하는 ... (1)MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.두 1비트를 더하는 계산을 할 수 있는 전가산기는 진리표를 바탕으로 구성하였다.X와 Y, 두
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    2x1 component멀티플렉서의 정의MUX 란 Multiplexer를 뜻한다. ... 시계를 구성하기 위해서는 1초 생성기, 60초,분 카운터, 12진 시간카운터, 2x1 MUX, 그리고 출력을 위한 FND가 필요하다. ... HDL및 실습-component 문을 이용한 시계 설계-목차———————————————MUX 2x1 component------------------------------------
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 방통대 방송대 컴퓨터구조 5페이지 암기노트 핵심요약정리
    (내/외부) MUX2(상태비트8가지) ADRS(ROM 10진주소)하드웨어 의한: 제어상태 갖는 순서회로 (제어순소 상태도 설계)블럭도: (시간발생기) 명령어레지스터(IR)해독기(디코더 ... 순서기)제어주소레지스터(CAR)제어기억장치(ROM)제어데이터레지스터(CDR)유형마이크로프로그램(펌웨어) 의한: 제어단어(마이크로명령어/연산) 사용마이크로명령어: A B D F H MUX1 ... : 의미(스택) / 즉치 / 직접M[ADRS] / 간접M[M[ADRS]] / 레지스터R1 / 레지스터간접M[R1]/ 상대M[ADRS+PC] / 인덱스M[ADRS+R1]종류데이터 전송
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.04.10 | 수정일 2024.04.12
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    최대 2까지 증가.실습제목: MUX 2x11. 주제 배경 이론MUX는 신호 선택기다. ... 그리고 24진 카운터를 추가로 만들면 시를 표현할 수 있다. 2x1 MUX를 이용하여 시계의 시간을 바꿀 수 있는 기능을 추가한다. ... 주제 배경 이론1초 생성기, 60진 카운터 2개, 24진 카운터, 2x1 MUX, FND를 이용하여 digital watch를 구조적 모델링으로 설계한다. 1초 생성기를 이용해서 초를
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • [아주대학교 A+] 논리회로 기말고사 족보
    아래의 full adder를 active-low 출력을 가진 3-to-8 decoder 1개와 2개의 NAND gates 만을 이용하여 설계하시오. ... 입력 X와 출력 Z를 가진 아래의 state machine에 대해 물음에 답하시오. [30점](1) Clock의 setup time은 2ns이고 hold time은 1ns이다. ... 각 mux의 truth table을 작성하고, mux 내부 회로는 그리지 마시오. [10점]R = ab'h' + bch' + eg'h + fgh2.
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.07.04 | 수정일 2024.01.24
  • [마이크로컨트롤러]9th_AD변환기
    (10 비트 ) 을 저장 → 변환 결과 좌측 정렬 11 (3) MUX4:0 : 아날로그 입력 채널 및 증폭비 선택 비트 MUX4:0 단극성 입력 (+) 차동입력 (−) 차동입력 이득 ... ADC5 ADC2 1x 11110 1.23V N/A 11111 0V(GND) AD 변환기 레지스터 - 단극성 입력 : 8 가지 종류 - 차동 입력 : 22 가지의 종류 ① ADC1 ... ADPS0 주파수 분주율 0 0 0 0 0 1 /2 0 1 0 /4 0 1 1 /8 1 0 0 /16 1 0 1 /32 1 1 0 /64 1 1 1 /128 (2) 단일변환모드 및
    리포트 | 20페이지 | 1,500원 | 등록일 2022.10.10
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    따라서 serial out만 뽑아낼려면 N번째 Q를 사용하면 된다. register는 data를 N clock만큼 delay시키는 역할도 하게 된다.2-2. 74x19474x194는 ... 디지털논리회로실험 8주차 실험 보고서목적-Shift registers의 구조와 동작원리를 이해한다. ... 달린 4-input MUX라고 할 수 있다.
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 디지털논리회로실험 레포트 4주차
    그림 2는 8-to-1 mux인 74x151 과 그의 진리표를 보여준다.그림 SEQ 그림 \* ARABIC 1. n개의 입력을 갖는 b-bit multiplexer그림 SEQ 그림 ... 그림 9에서는 8개의 three-state buffer를 포함하고 있는 74x541이 사용되었다.그림 SEQ 그림 \* ARABIC 9. three-state buffer를 이용해 ... 실제적인 설계에서 1-byte (8-bit) 단위의 데이터의 연결이 일반적이기 때문에 8개의 three-state buffer를 포함하는 소자들이 상용으로 공급된다.
    리포트 | 24페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서울시립대학교 전전설2 5주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Results of Lab 5- 2비트 2:1 MUX 회로를 case 문을 사용하여 설계하시오.입력 A[1:0] : Bus SW1, 2입력 B[1:0] : Bus SW7, 8입력 S ... - Karnaugh map(전체)A[1:0]A[3:2]0001111000X00X010110XXX11XXXX1011XXX- Karnaugh map(Y[1])A[1:0]A[3:2]0001111000X0X0011XXX11XXXX101XXX따라서 ... 결과는 동일함을 볼 수 있었다.코드는 달라지지만, 코드가 의미하는 내용이 일치한다면, 동일한 입력이 주어진 경우 동일한 출력이 나옴을 확인하였다.4) 실습 43x8 decoder를
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.20
  • 텀프로젝트 디지털논리실험및설계 [ 주차장 카운트 ] A+자료
    사용한 부품- 74157 x1 -> Mux- 74192 x2 -> Up/Down Counter- 7486 x4 ┓- 7408 x4 -> 4bit 이진 가산기- 7432 x4 ┛- 7447 ... 6조 Term Project 보고서과목명디지털회로 실험 및 설계재료비약 8만원1. ... x3- 아두이노 x1- 적외선 센서 x6- LED x64.
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • [방송통신대학교] 디지털논리회로 출석수업대체과제물
    (그림 5-2, 구현표)③ 완성된 구현표에 의해 멀티플렉서 입력단을 연결하면 MUX를 이용한 부울함수 구현이 이루어진다.4X1MUXI00I1AI2F1S0S1I3ABC(그림 5-3, ... 입력조합(2 ^{3}=8)으로 구성 되며,출력란은 X=0, Y=0, Z=0 일 때 1, X=0, Y=0, Z=1 일 때 1,X=0, Y=1, Z=0 일 때 1, X=1, Y=0, Z ... (8)※ 교제 3장(주관식문제 1, 3번)4.F= {bar{X}} Y {bar{Z}} + {bar{X}} {bar{Y}} Z 의 보수를 구하시오.F = XYZ + XYZ= (XYZ
    방송통신대 | 9페이지 | 6,000원 | 등록일 2022.03.01
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대