• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(334)
  • 리포트(314)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 101-120 / 334건

  • 마이크로프로세서(ATMega128)실험 AD컨버터로 광센서 읽기
    ADMUX(ADC Multiplexer Selection Register) : A/D 컨버터 멀티플렉서 선택 레지스터76543210REFS1REFS0ADLARMUX4MUX3MUX2MUX1MUX0 ... 입력 전압은 AD 변환이 종료될 때까지의 시간, 전압을 일정하게 유지해야 하는데 이는 A/D 컨버터 앞단의 샘플/홀드 회로를 통해 수행된다.)→ ATmega128의 A/D 컨버터는 8채널의 ... 사용하여 출력PORTG = 0x0F; //0~3비트까지의 비트를 1로 세트TCCR0 = 0x07; /* Timer/Count0의 동작 설정WGM0(1:0) = “00”일반동작모드COM0
    리포트 | 8페이지 | 1,000원 | 등록일 2016.02.15
  • 금오공대 전자공학부 컴퓨터구조 ㅅㅇㅎ 2015년 2학기 중간시험 족보
    기본 컴퓨터의 ALU는 조합회로인가 순차회로인가4. 2x1 MUX를 AND게이트, OR게이트, Inverter를 이용하여 구현하고 동작을 상세히 설명하시오.(2점)5. ... 오른쪽 산술 시프트를 한번 한 것은 어떤 산술연산을 한것과 같은효과가 있는지 설명하시오.(2점)8. 십진수 -3에 해당하는 2진수에 대하여 8번 문제를 반복하여라. ... 금오공대 전자공학부 컴퓨터구조 성영휘교수님 2015년 2학기 중간시험 족보-ALU에 대하여 다음에 답하시오.(1~3번, 각 1점)-1.
    시험자료 | 2페이지 | 15,000원 | 등록일 2018.05.05 | 수정일 2022.05.07
  • 디지털논리실험 이병기저 실험2 부호기 예비보고서 입니다.
    그림5 회로에 대해 진리표를 그려 그 동작을 확인하라.(8-to-1 멀티플렉서 논리회로)8-to-1 멀티플렉서의 논리회로는 위와 같고 아래와 진리표와 같이 동작함을 알 수 있다.(8 ... 이때 특정 출력선의 선택은 n개의 선택입력의 조합으로 제어한다.1X4 디멀티플렉서의 논리회로도와 블록도는 다음과 같다.디멀티플렉서를 DEMUX라는 약어로 표현하기도 한다. ... 따라서 최소 4 개의 4 : 1 MUX를 사용하여 16 개의 입력 라인을 확보해야하고 4 개의 출력 핀을 가진 로직을 사용하게 됩니다.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.04.30
  • 경북대학교 전자공학실험1 올A+ 결과보고서 7장
    *연습문제1) n - to 2^n 디코더는 2^n 개의 모든 minterm 출력을 내는 AND array 로 보면 n 개의 입력변수를 갖는 조합논리회로 설계에 유용할 수 있다. 3x8 ... 다르고,모양은 동일1μs1MHz0111주기는 다르고,모양은 동일0.1μs10MHz0입력D0D1D2D3D4D5D6D7※분석- 여기서 사용한 74151 MUX 는 8개의 Data source ... ※분석 : select input 에 따라 switch 기능을 수행하는 MUX, DEMUX 는 한번에 하나의 Data source를 연결한다.만약 MUX의 입력신호가 여러개이고, DEMUX
    리포트 | 6페이지 | 3,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • [Ayeun] 마이크로프로세서응용 9주차 예비보고서 마프(ADC)
    클럭설정(ADPS 2~0)- ADC 기준전압설정(REF 1~0)- ADC 입력채널설정(MUX 4~0)- ADC 동작모드설정(ADFR)- ADC 변환 완료 인터럽트 활성화(ADIE) ... Ideal SNR- 이상적 SNR을 뜻하며, Ideal SNR = 6.02 x n + 1.76 으로 구한다.- 이때 n은 bit의 수, 즉 resolution이다.- 위의 ENOB ... (기울어진 축따라)- 비선형 오차 : 변환 결과가 교정이 불가능한 상태Ans : X- 차등 비선형 오차 : 변환 결과가 교정이 불가능한 상태Ans : X?
    리포트 | 14페이지 | 1,000원 | 등록일 2018.12.22
  • 전자전기컴퓨터설계실험2(전전설2)5주차결과
    결과값 중 하나만 1의 값을 가지며 나머지는 0을 갖는다.예를 들어 3x8 디코더에서의 경우 3개의 입력과 8개의 출력을 갖는다.다음과 같은 진리표를 통해 8개의 And gate 와 ... 실험결과 (Results)1. 2비트 2:1 MUX를 설계하시오.코딩(text)// 2to1muxmodule two_one_mux(a,b,s,q);input [1:0] a,b;input ... MUX 회로를 설계하시오.코딩(text)//4to1muxmodule four_one_mux(a,b,c,d,s,q);input a,b,c,d;input [1:0] s;output q;
    리포트 | 20페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    작성하라.04*1MUX1Y233. ... 4MUX01232. ... 문제1) 7-Segment 표시기로 표시할 수 있는 9개의 서로 다른 문자(숫자)는 무엇인가.- 숫자 : 0,1,2,3,4,5,6,7,8,9 로서 총 10개가 된다.- 문자 : 대문자
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 아주대 논리회로실험 실험예비4 멀티플렉서와 디멀티플렉서(Multiplexer & Demultiplexer)
    결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의 2-State(2상태) 출력을 갖는다. ... → Y의 출력을 살펴보면 S가 1일 때는 D1을 출력으로 내보내고 S가 0일 때는 D0를 내보냄을 알 수 있다. 2X1 MUX로 동작한다.(3) 74138, 74139 디코더 칩의 ... ***→ S가 1일 때는 D1, S가0일 때는 D0값을 Y의 출력으로 내보냄을 알 수 있으며, 2X1 MUX로 동작한다.(2) 다음 회로도의 Tri-state buffer와 inverter를
    리포트 | 7페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • VHDL-Pre lab - Mux and DeMUX
    Design 74LS138 1x8 DEMUX- Look up 74LS138 in the TTL databook- describe its input output signals- describe ... an test bench for testing the DEMUX- Do a simulation- Look up 74LS138 in the TTL databook앞에서 74LS138 1x8 ... Design 74LS138 1x8 DEMUX- Look up 74LS138 in the TTL databook- describe its input output signals- describe
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • CPU에 대해(컴퓨터레지스터,산술논리연산장치(ALU),컴퓨터명령어,CISC와RISC)
    장치 F = A + B + C in FA 4x1 MUX C in S 1 S 0 Ai B i 0 S 1 S 0 0 1 2 3 1 Xi Ci Yi Ci+1 C out Fi 그림 6-18 ... Ⅱ 논리 연산 회로 Ⅲ 시프트 연산 회로 Ⅳ 산술 논리 시프트 장치 F = A + B + C in FA 4x1 MUX C in S 1 S 0 Ai B i 0 S 1 S 0 0 1 ... 컴퓨터 레지스터 Ⅰ 레지스터 종류 Ⅱ 레지스터 전송 Ⅲ 공통 버스 구조 F1 F2 F3 S1 S2 S3 S4 S5 S6 그림 6-8) 하나의 공통선을 통한 버스 전송6.1 컴퓨터 레지스터
    리포트 | 40페이지 | 1,000원 | 등록일 2018.04.17
  • 디지털 로직 실험 멀티플렉서를 이용한 조합 논리
    다른 방법은‘이론 요약’에서 설명한 8:1 MUX를 사용하는 것이다. 실험 보고서의 표 12-1에 비교기에 대해 일부분만 완성한 진리표를 나타내었다. ... 간단히 ‘먹스(MUX)’라고 하기도 한다. ... 출력이 A≥B로 되는 논리를 결정하고 표 12-1 진리표의 X열을 완성하여라. 첫 번째 두 항목은 예로써 이미 완성 되어 있다.2. 두 항목 그룹으로 된 출력 X를 살펴보아라.
    리포트 | 6페이지 | 1,000원 | 등록일 2015.07.20
  • 논리회로실험 예비 4
    -------000xxx00000xxx11001xx0x0001xx1x1010x0xx0010x1xx10110xxx00111xxx1실험 1.2 ? ... }D _{0}Y1-------000xxx00000xxx11001xx0x0001xx1x1010x0xx0010x1xx10110xxx00111xxx1실험2 (Demultiplexer)실험 ... MUX)멀티플렉서는 디지털 스위치로서 입력에 연결된 신호원 중 하나의 데이터를 출력으로 연결한다, 입력과 출력을 보면2 ^{n}개 입력선(D)과 n개의 선택신호선(S) 1개의 전원선
    리포트 | 11페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2020.09.23
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    실습목표 :(a) 1비트 2x1 Mux Schematic & VHDL(b) 2비트 2x1 Mux Schematic & VHDL(c) 1비트 1x2 Mux Schematic & VHDL ... (d) 2x4 Decoder Schematic & VHDL(e) 4비트 4x1 Mux Schematic & VHDL(f) 0~f FND Decoder (VHDL만)을 Schematic과 ... .(3) 1비트 2x1 Demuxa.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 완전한 ALU logic을 gate로 설계하라
    (Quartus로 그리기)산술 논리 시프트 장치(ALU logic)를 Gate로 그린 설계도Logic diagram (그림 4-10)4비트 산술회로 (그림 4-9)4X1 MUX (4 ... -to-1 Multiplexer)선택 입력S_{ 1}과S_{ 0}에 의해 마이크로 연산이 선택되고, 출력측의 4X1 Multiplexer에 의해 산술 출력E_{ i}와 논리 출력H_ ... 표 4.8 (그림4-13)의 완전한 ALU logic을 gate로 설계하라.
    리포트 | 1페이지 | 1,000원 | 등록일 2015.01.27
  • 8x1 mutiplexer
    09/09/26 토요일1. 8×1 Multiplexer Circuit2. 8×1 Multiplexer Truth Table임의로 x1=0, x2=1, x3=0, x4=1, x5=0, ... x6=0, x7=1, x8=1이라 한다면 s1, s2, s3 조합에 따라 출력 f에 각각 x1~x8값이 차례대로 나오도록 하였다.s1s2s3fx1=00000x2=00010x3=00100x4 ... 8×1 Multiplexer Truth Table? 8×1 Multiplexer Circuit의 시뮬레이터 결과
    리포트 | 2페이지 | 1,000원 | 등록일 2009.11.03
  • 컴퓨터구조 CH4 문제풀이
    +200+2000+500) / (1/1300X)= 3840 * 1300X = 4992000X개선이 이루어 졌을 때 ps4.4.1 프로세서가 할 일이 연속된 명령어들을 인출해 오는 것뿐이라면 ... conditional PC relative branch 명령어밖에 없다면, 이 명령을 수행하는 논리블록들은 I-Mem, Regs, Sign-Extend, Shift-Left-2, Add, ALU, Mux ... 이를 바탕으로 개선된 파이프라인은 다음과 같다.CC1CC2CC3CC4CC5CC6CC7CC8CC9IFIDEXEMEMWBnopnopIFIDEXEMEMWBIFIDEXEMEMWB4.9.3
    리포트 | 8페이지 | 1,000원 | 등록일 2017.10.27
  • 05 논리회로설계실험 예비보고서(조합회로)
    MUX입 력선 택출 력I0I1I2I3S1S0YXXXX00I0XXXX01I1XXXX10I2XXXX11I3 4X1 멀티플렉서 진리표(3) 디멀티플렉서 (DMUX)멀티플렉서와 반대 기능을 ... 디멀티플렉서선 택입 력출 력S1S0ID3D2D1D000XI00001X0I0010X00I011X000I 디멀티플렉서 진리표(4) ALUALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 ... 실험 내용- 실험 1. 8가지 기능을 가진 ALU 설계(1) 기능표S2S1S0논리식기능000Y = AA의 전송001Y = A + B가산010Y = A - B감산011Y = A + 1A의
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 전전컴설계실험2-7주차예비
    : 1 MUX 회로를 설계하시오입력A : BUS Switch 1,2입력B : BUS Switch 3, 4입력S : BUS Switch 8출력Q : LED 1, 2-실험 이론2x1 ... -PreLab24 : 1 MUX 회로를 설계하시오입력A, B, C, D : 버튼스위치1, 2, 3, 4입력S : BUS Switch 1, 2출력Q : LED 1-실험 이론4x1 MUX ... Simulation을 통해 실험 예상값을 확인한다..7. 2:1 Mux 의 Test Bench 파일을 생성한다.8.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    1x8 DEMUX HYPERLINK \l "discussion" 3. ... 실 험 결 과 (Result) HYPERLINK \l "design1" 1) Design a 2x1 MUX HYPERLINK \l "design2" 2) Design 74LS138 ... 위의 나머지 과정들 또한 위 설명을 그대로 따라 가고 있음을 확인할 수 있다.2) Design 74LS138 1x8 DEMUX- Do a waveform simulationSource
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL-Post lab - Mux and DeMUX
    하지만 2x1 MUX 의 의미상 해석은 위에서 보는 것과 같이 동일하다. ... Simulation 에서 구현한 결과와 같이 74LS138 1x8 DEMUX 의 진리표와 비교해본 결과 B/d 상에서도 문제없이 구현됨을 확인 할 수 있었다.8. ... 간단한 MUX 2x1 을 통해서 VHDL 코드로도 여러 표현방식으로도 구현 가능하다는 것을 알려주는 실험이었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:49 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대