• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(334)
  • 리포트(314)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 41-60 / 334건

  • VLSI 프로젝트 보고서
    =40 pd=26 as=1232 ps=1018M1001 A1_b A1 VDD VDD pch_tn w=8 l=2+ ad=40 pd=26 as=0 ps=0-생략8 X 4 SRAM + Decoder ... 데이터 신호로 보내줍니다. 4bit mux를 구현하기 위해 1bit 1:2 MUX 4개를 아래로 이어 붙여 MUX를 design 하였다. ... Sram cell의 동작을 완전히 이해하고 넘어가게 되었고 8X4 SRAM을 완성하였다.그리고 Decoder를 그리면서 6가지 신호를 MOSFET의 위치에 따라서 WORD 라인을 8개
    리포트 | 50페이지 | 3,000원 | 등록일 2020.03.12 | 수정일 2020.03.16
  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 예비보고서
    산술 연산은 과 같이 가산, 감산, 증가, 감소 등의 8가지 기능을 수행한다. 이들의 기능은 S1, S0, Cin에 의해 선택된다. ... 먼저 S1과 S0의 값에 따라 MUX에 의해 출력 Y(B,bar{B}, 0, 1)의 값이 결정되고, ADDER에 의해 출력 D(Cin+A+Y)가 결정된다.선택 단자입력출력동작S1S0CinYD ... 익히고, 2x4 decorder에 대한 회로도와 시뮬레이션 결과 및 심볼을 프린팅하시오.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 디지털 논리회로 실험 4주차 Multiplexer 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Multiplexer소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험을 통해 8-to-1 멀티플렉서가 잘 동작하는지 확인하시오. ... S2를 2-to-1 멀티플렉서의 선택 신호로 연결하면, 8-to-1 멀티플렉서를 구성할 수 있다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22 | 수정일 2022.04.17
  • Verilog HDL을 이용한 Mu0 프로세서 구현 프로젝트 (코드, ModelSim결과 포함)
    = 0 pc := loop16STPstop7, loop1LDA iacc := mem[i]8ADD v1acc := acc(i)+mem[v1]9STO imem[i] := acc(i+1) ... (-13)이므로 accz == 011JNE (주소1로 이동한다면)조건 참 경우 검증15JMP 0x (실행될 일 없음)x16STOP종료1617X = 240데이터18Y = 13데이터위와 ... 0JMP 5address 5로 jump1JMP 검증 (다음 명령어가 5일 경우)1LDA XACC := X(13)122SUB XACC :=ACC(13)-X(13)133JNE 0ACC(
    리포트 | 16페이지 | 8,200원 | 등록일 2021.01.03
  • 경제학원론 미시경제부분 정리
    시장 균형의 효율성- 사회적 잉여=소비자잉여+생산자잉여- 시장균형 상태일 때 사회적 잉여 극대화8. ... / MUY- 효용 극대화 조건=>MUX / MUY = PX / PY or MUX / PX = MUY / PY6. ... 01 경제학의 대상과 분류1.
    리포트 | 21페이지 | 1,000원 | 등록일 2020.06.22
  • 전자계산기 구조 (1.f(a, b, c) m(2, 4, 6, 7)의 진리표를 작성하고, A, B 그리고 B, C를 각각 선택선으로 했을 때, 4 x 1 멀티플렉서(Multiplexer) 블록도를 설계하여 도시하시오.2.4K ROM 1개와 1K RAM 사용하여 8비트 마이크로컴퓨터를 설계하여 그림을 그리고 반드시 각각 Ram 칩 번호를 다르게 설정하고,)
    참고문헌1) 김창완 외 2명, 2021-09-30, 「컴퓨터구조」 복두 출판사, 2020 출판.2) 허준, 2021-09-30, diagrams.net, (Mux, 8비트 마이크로컴퓨터 ... ........................Page.21.1 진리표1.2 4 x 1 멀티플렉서2. 2번 문제......................................... ... A,B 그리고 B,C를 각각 선택선으로 했을 때 4 x 1 멀티 플렉서 블록도1) 선택선 A, B2) 선택선 B, C2. 2번 문제(5주차 2차시 - 메모리 모듈, 134p)4K
    리포트 | 9페이지 | 10,000원 | 등록일 2021.10.18
  • 디지털공학개론(반가산기 전가산기, 고속가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 )
    멀티플렉서를 줄여서 MUX 라고도 표현한다.S1, S0은 Y 출력을 결정하게 할 결정자또는 선택자가 된다.S1과 S0의 값에 따라 어떤 입력이 출력되는 가를 선택하는 것이라고 할 수 ... ) = x'y'z + x'yz'+ xy'z' + xyz= x ? ... 또는 작을 때를 나타내는 디지털 출력을 제공하고 비교기 출력은 연산 증폭기, 비교기 IC를 이용하여 구성할 수 있고, 비교기 IC는 스위칭이 더 빠르고 잡음에 강하여 더욱 적합하다.8)
    리포트 | 6페이지 | 8,000원 | 등록일 2021.11.29
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서4
    AxB : A개의 input 단자, B개의 출력단자 eg) 1x8= 1 input / 8 output? ... AxB : A개의 input 단자, B개의 출력단자 eg) 8x1 = 8 input / 1 output? ... 선택단자의 개수 : Ax1 멀티 플렉서 A=2n(n=필요 선택 단자 개수) eg)8x1?8=23(3개의 선택단자 요망)?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    bit로 변환하기 위해 8-bit register을 사용하였고, controlUnit에서 register의 CLR과 enable 신호를 조작하기 위해 FD8CE를 사용하였x display ... 1Hz의 clock이다.따라서 C(0)가 select 신호로 입력되고, up_down과 O(2:0)을 선택하는 3개의 MUX는 lose_draw가 0이라면, O(2:0)와 000을 ... (0)D(1) = result(1)*result(0) + result(1)*result(0)D(0) = result(1) + result(2)*result(0)3-bit 신호를 8-
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 충북대(전기) 인천대(메카) 한기대(전자) 공주대(전자) 2024학년도 편입 면접 후기및 전공 요약본
    : x 축은 실수, y축은 허수로 이루어진 좌표평면입니다.) ㆍ다이오드란? p-type과 n-type 실리콘 사이의 접합을 다이오드라고 비트가 8개 모이면 1바이트로 표현한다. ... ㆍ인코더, 디코더, mux, demux 인코더(부호기): 코드를 만드는 회로로, 어떤 정보를 포함하고 있는 여러 개의 입력 신호중 단 하나의 활성화된 입력을 표현하기 위해 암호화하여 ... 선형 미방일때 적분인자(람다 e)의 적분 P(x)를 이용해서 푼다.
    자기소개서 | 16페이지 | 5,000원 | 등록일 2024.02.06 | 수정일 2024.06.21
  • 글로벌리더 대장정 면접준비
    → x재의 한계효용 = MUx =? 효용의 변화분 / x재의 소비량 변화분?한계효용 체감의 법칙?:? ... 1. 자기소개2. 지원동기3. 아이들/청소년과 함께한 경험4. 아이들에게 배운 점5. 가장 행복했던 순간6. 과 지원동기7. 멘토 지원동기8. 성격 장단점9. 자기 PR10. ... X재의 수요량이 Dx이라고 할 때 이 수요량을 결정하는 요인은 다음과 같다.?1. Pn?= 시장가격(Price)??
    자기소개서 | 13페이지 | 3,000원 | 등록일 2020.12.28
  • 디지털 논리회로 Xilinx와 key, dot matrix를 활용한 패턴 저장, 표출
    소자의 property 이해프로젝트를 위해 주어진 소자는 cmod s6, 4x4 key matrix, bread board, 8x8 dot matrix 이다.회로를 구성하기 앞서서 ... 총 2개의 SR8RLED 를 사용하며 각각의 아웃풋은 encoding 작업 후 3bit의 정보로 만들어준후 64x1 RAM 의 address에 입력된다. ... 시연모드 버튼과 GND의 input을 받는 M2_D1을 마지막 mux의 CLK로 사용하면 시연모드 버튼을 누를 때만 이와 같은 동작이 되도록 하였다.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.12.03
  • 2024지거국 전자공학과 편입 전공면접자료, 면접 후기 (전남대,인천대,충남대,충북대,전북대) 합격
    정현파가 저항에 가한 열 만큼의 열을 내는 직류전압의 크기, 정현파의 최대값 X 루트2 __> 평균값은 최대값 X 2/파이★ 17-1. ... 저는 MUX로 할 수 있다고 생각했는데.. 컴퓨터 구조 과목에서 배운 것 같네요충남대학교 전자공학과- 자기소개- 컴퓨터 구조 배웠는지?- 크로스컴파일링 에 대해서 아나요? ... 합8수동소자/능동소자수동소자: R,L,C 가 있고 전력을 전달, 저장, 소비하는 수동적인 소자능동소자: 능동소자는 트랜지스터, 다이오드, 증폭기가 있고 전달받은 전력을 전환, 증폭
    자기소개서 | 13페이지 | 4,000원 | 등록일 2024.02.24 | 수정일 2024.03.05
  • 디지털시스템설계 hw5
    신호를 input으로 out을 output으로 사용하는 4-1 MUX코드이다. case문과 유사한 방식으로 s1과 s0을 input vector로 이용해 해당 입력에 따라 정해진 output을 ... ’b1xxx014’b1xxx4’b1xxx104’b01xx4’b01xx004’b01xx4’b01xx114’b101x4’b101x014’b101x4’b101x114’b11014’b1101014 ... 파형을 살펴보면 0으로만 구성 된 8’b00000000의 경우는 0이 8개가 있기 때문에 out_num으로 8인 4’b1000를 출력했다. 8’b10101000의 경우는 0이 5개가
    리포트 | 18페이지 | 1,000원 | 등록일 2021.01.07
  • 8장 순차논리회로 설계 및 구현(2) 예비
    [그림 8-6] D 플립플롭으로 구성된 4비트 레지스터또 다른 방법으로는 그림 8-7과 같이 MUX를 이용하여 플립플롭의 입력을 선택할 수 있도록 구성하고, 레지스터의 내용을 유지하려면 ... 8장, 순차논리회로 설계 및 구현(2) 예비보고서1. ... 이진 카운터 74x163이며, 그림 8-2는 이 카운터의 내부 논리도이다. ‘163은 적재 및 클리어 기능을 내부적으로 용이하게 하기 위하여 T 플립플롭 대신 D 플립플롭을 사용하고
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 전자전기컴퓨터설계실험2(전전설2) (3) Logic Design using Verilog HDL
    3'b01x3Binary-01x12'hx12Hex-xxxxxxxxxxxx8'b0000_00018Binary1000000018'bx018Binary-xxxxxx01'bzUnsizedBinary-zz ... (행위수준 모델링(조합논리회로), 2-to-1 MUX)[사진 5] 베릴로그 HDL 모델링의 예시(행위수준 모델링(순차회로), D 플립플롭)테스트 벤치 묘듈은 HDL 모델을 시뮬레이션하기 ... ...zz(32bits)8'HAD8Hex17310101101[표 1] 수 표현의 예시식별자(identifier)는 객체에 고유의 이름을 지정하기 위해 사용한다.
    리포트 | 84페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 아주대학교 논리회로실험 / 4번 실험 Multiplexer & Demultiplexer 예비보고서
    2C를 연결한다.8. ... 구성함수 다이어그램IC 이름74HC153 (Dual 4-input multiplexer)논리 다이어그램진리표S0S1I0I1I2I3E`YXXXXXX10000XXX00001XXX0110X0XX0010X1XX0101XX0X0001XX1X0111XXX00011XXX101핀 ... 입력 D를 빵판에 연결하고 ( 위 사진의 경우 Vcc 와 동치함 )첫 번째 7411 소자의 1C와 두 번째 7411 소자의 1C와 연결한다.8.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 경제학개론 기말고사 대체 레포트
    소비량을 조절한다고 해도 총효용이 증가할 수 있는 여지가 없을 때 소비자의 총효용이 극대화되는 것이다.만약 X재 1원어치에 대한 한계효용(Mux/Px)이 Y재 1원어치에 대한 한계효용 ... 어떤 소비자에게 X재 1원어치에 대한 한계효용이 Y재 1원어치에 대한 한계효용보다 클 때 X재와 Y재의 소비조정을 어떻게 하여야 이 소비자는 최대의 효용을 얻을 수 있는지 설명하여보세요.소비자는 ... 우리나라의 최저임금은 최근 10년 동안 급격히 상승하였다(2020년 미국의 연방최저임금은 8,700원, 한국의 최저임금 8,590원).
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.10
  • 부경대 디지털 회로 3장 과제
    이러한 함수들은 외부 게이트들로 구현되어야 한다.F= {bar{C}} {bar{D}}F=DF=CDF=1ABCDF000000001100100001110100101010011000111010000100101010010111110011101111101111114x1MUX연습문제 ... 하나의 3-to-8-라인 디코더와 하나의 8×2 AND 게이트와 하나의 OR 게이트를 사용하여 8-to-1-라인 멀티플렉서를 설계하라.연습문제 3-44. ... OR 게이트들로 설계하라.문제풀이> 3-to-8 라인 디코더를 사용하기 위해 부울 함수를 다음과 같이 수정했다.F _{1} = {bar{X}} Y {bar{Z}} + {bar{X}
    시험자료 | 13페이지 | 4,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 수원대 컴퓨터 구조 중간고사 요약
    ='\0') // null이 될 때까지 반복i+=1;}어셈블리어: x[], y[] -> x10, x11 / i -> x19strcpy:addisp, sp, -8 ->sp를 -8의 위치로sdx19 ... 신호를 발생시키는 장치- 멀티플렉서(MUX): 제어 신호를 받아 여러 데이터패스 중 하나를 선택하는 장치* 제어장치의 제어 신호들- ... , x10, 0->x10을 통해 n=1부터 x6에 저장ldx10, 0(sp)-> n+1값 x10으로 popldx1, 8(sp) ->addi or main 위치 x1으로 popaddisp
    시험자료 | 29페이지 | 1,500원 | 등록일 2023.01.07
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:01 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대