• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,501)
  • 리포트(2,314)
  • 시험자료(79)
  • 자기소개서(65)
  • 방송통신대(21)
  • 논문(17)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 61-80 / 2,501건

  • 한글파일 디지털실험및설계 결과4(카운터)
    디지털 논리실험 및 설계#4 카운터 (결과)담당교수님 : 교수님제출일자 : 2015. 04. 20조 :학번 :이름 :1. ... 표 에서 카운트-업 카운터는 1씩 카운터를 업 시키고 카운트-다운 카운터는 1씩 카운터를 다운 시킨다는 것을 볼 수 있다.(2)비동기식 업/다운 카운터CLK(a) 카운트-업 카운터( ... 실 험 결 과(1) 비동기식 카운트-업 카운터와 카운트-다운 카운터CLK(a) 카운트-업 카운터(a) 카운트-다운 카운터Q_{ 3}(8)Q_{ 2}(4)Q_{ 1}(2)Q_{ 0}(
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 한글파일 디지털공학 카운터설계
    카운터설계하고 동작 원리를 익힌다.1. 설계카운터의 동작 특성을 익힌다.◎ 사용기기1. ... 회로설계2) 6진 카운터 < 2 - 3 - 4 - 5 - 6 - 7 > 카운터 설계1. ... 타이밍 도◎ 결론 및 고찰동기식 카운터는 순서회로 설계 방법으로 설계가 가능하며 어떤 경우의 카운터설계 할 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2012.04.07
  • 한글파일 디지털실험및설계 예비4(카운터)
    디지털 논리실험 및 설계#4 카운터 (예비)담당교수님 : 교수님제출일자 : 2015. 04. 13조 :학번 :이름 :1. ... 여기서 회로를 좀 더 살펴보면 위에서 말한 것처럼 회로도의bar{Q}의 값의 뒤에 JK플립플롭의 CLK에 들어가게 되면서 자연스럽게 카운터를 하도록 설계되어있다. 4비트-2진 카운터의 ... 카운터를 만들 수 있다.1.2) 카운트_다운 카운터와 카운트_업 카운터를 비교하고 그 차이점을 설명하라.카운트_다운 카운터는 하나씩 떨어지는 카운터 이고, 카운트_업 카운터는하나씩
    리포트 | 10페이지 | 1,500원 | 등록일 2015.12.05
  • 파일확장자 건축설계계획-카운터
    카운터계획1-의미와 장단점1. ... 카운터의 의미카운터의 기원은 기능적인 디시업(DISH-UP)대에서 찾을 수 있다.조리된 음식을 대 위에 얹고 이것을 테이블에 옮겨 놓고 식사를 한다는 기본적인 형식에서 발전한다.이 ... 과정에서 테이블 좌석까지 옮기는 행위가 없으면 자연히 서서 마시고 먹는 카운터가 이루어진다.우리나라 음식점의 카운터는 서양식 식사 스타일에서 발생되었지만 서양과는 다른 형태를 가진다.손님상호의
    리포트 | 1페이지 | 1,500원 | 등록일 2013.07.02
  • 한글파일 논리회로설계실습 순차회로(카운터) 결과보고서
    논리회로설계 실험 결과보고서 #8실험 8. 순차회로 설계 - 카운터1. ... 실험 결과 1Hz 8비트 존슨 카운터와 링 카운터설계하시오. ... 실험 목표카운터, 클럭 분주기의 동작 원리를 이해하고 이를 이용하여 1Hz 8비트 존슨 카운터와 링 카운터설계한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.10
  • 파워포인트파일 카운터 응용 설계
    설계 일정*설계목적 - 실험시간에 배운 카운터를 응용하여 설계작품을 제작 ( 좀 더 쉬운 74192 가 아닌 직접 배운 74193 사용) 설계개요 - 카운터응용으로 대기 번호를 표시 ... 설계목적 및 개요 2. 관련 이론 3. 블록도 4. 최종 논리회로도 (상세 설계내용 포함) 5. 추가 옵션 6. 설계 작동 결과 7. Trouble Shooting 8.
    리포트 | 24페이지 | 10,000원 | 등록일 2010.05.29 | 수정일 2023.10.12
  • 한글파일 디지털공학 24진카운터 설계
    설계 제안서 < 3조 >이름(학번)명 제 : 24진 카운터내용설계목적① 비동기식 및 동기식 n진 카운터를 이용하여 FF의 사용방법을 알아본다.② 카운터의 구성 및 동작원리를 이해한다 ... - 24진 카운터목적① 비동기식 및 동기식 n진 카운터를 이용하여 FF의 사용방법을 알아본다.② 카운터의 구성 및 동작원리를 이해한다.③ 카운터설계를 통하여 응용방법을 알아본다.설계 ... .③ 카운터설계를 통하여 응용방법을 알아본다.유의할 점① 소자 및 FF를 설계전 확실히 파악하여 부품의 최소화를 이끌어낸다.② 설계시 납땜 작업중 안전에 유의하여 설계에 임한다.③
    리포트 | 5페이지 | 1,000원 | 등록일 2014.02.02
  • 파일확장자 [VHDL] Leading one 카운터 설계
    설계에서는많은 부분이 ‘1’개수 카운터와 유사하지만 입력벡터에서 ‘0’이 나왔을 때 카운트 동작을 멈추게 하는 다른 조건을 이용해서 loop에서 나와야 한다. ... 앞에서 나온‘1’ 개수 카운터에서는 모든 ‘1’을 카운트했지만 이 실습에서는 앞에 나오는 `1` 개수만을 카운트 하므로 loop 문 안에서 다른 조건을 적용해야 한다. ... 앞에 나오는 ‘1’개수만을 카운트하고, 입력벡터에서 ‘0’이 나왔을 때 카운트 동작을 멈추게하는 설계이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.18
  • 한글파일 27진 카운터 설계
    -27진 카운터 설계-1. 명제2. 목적3. 설계 순서4. 관련이론5. 소자선택6. 회로도7. Simulation8. 회로설계9. 결과10. ... 계수 결과를 확인한다.2.목적비동기식 N진 카운터를 이용하여 IC의 사용방법을 알고카운터설계를 바탕으로 7447 7490 7-segment 의 기능을 이해한다.3.설계순서1. quartusⅡ를 ... Data Sheet1.명제10진 Counter IC인 7490과 BCD to 7-segment Decorderd인 7447을 이용하여 7-segment에 표시하는 27진 카운터설계하고
    리포트 | 8페이지 | 2,000원 | 등록일 2011.06.19
  • 한글파일 디지털실험및설계 결과5(카운터2)
    특히 10진 카운터설계할 때에1010이 되면 클리어를 시키는 방법으로 설계를 할 수도 있고, 동기식 10카운터설계할 때에는 입력 J, K에 따른 Q값을 확인하여 원하는 출력을나오게 ... 디지털 논리실험 및 설계#5 카운터 (결과)담당교수님 : 교수님제출일자 : 2015. 04. 27조 :학번 :이름 :1. ... 하려면 입력을 어떻게 넣어야하는지를 카르노 맵을 통해 확인하여서설계를 하는 방법이 있다는 것을 알았다.
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.05
  • 한글파일 디지털실험및설계 예비5(카운터2)
    디지털 논리실험 및 설계#4 카운터 2 (예비)담당교수님 : 교수님제출일자 : 2015. 04. 20조 :학번 :이름 :1. ... 비동기식 카운터와 동기식 카운터의 절충적의 동기식 카운터이다. ... 회로를 살펴보면 비동기식 카운터보다 복잡해졌지만 동기식 카운터보다는 간단하고, 또 전송 지연이 동기식 카운터보다는 길어지지만 비동기식 카운터보다는 짧아진다.즉, 리플 캐리 카운터
    리포트 | 7페이지 | 1,500원 | 등록일 2015.12.05
  • 한글파일 4비트 카운터 설계
    =======================================================================library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee...
    리포트 | 4페이지 | 2,000원 | 등록일 2011.04.03 | 수정일 2016.08.22
  • 한글파일 10진 카운터설계
    : out std_logic_vector (0 to 3)); -- 출력은 4bit의 논리벡터.end; -- entity의 끝Architecture code of Dec is -- 설계 ... 부분 선언signal cnt : std_logic_vector (0 to 3); -- count를 피드백 하기 위한 포트선언.begin -- 설계 부분 시작 선언.process(clk
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • 한글파일 순차회로 설계 - 카운터 예비보고서
    과 목 : 논리회로설계실험과 제 명 : 순차회로 설계 - 카운터담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 15논리회로설계 ... 순차회로 설계 - 카운터1. 실험 목표- 카운터의 종류와 각각의 기능에 대해서 이해를 하고, 이해한 내용을 바탕으로 VHDL 코딩 실습을 한다. ... 예를 들어, 8비트 카운터로는 0에서부터 255까지 셀 수 있다.- 카운터는 클록과 동기 방식에 따라 비동기식 카운터와 동기식 카운터 두 가지로 구분한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 논리회로 카운터 신호등 설계
    이번 설계에 사용한 카운터는 동기식 4비트 UP 카운터로서 0000->1111까지 순차적으로 변하게 되는 카운터입니다.◇ 개요주제 : (Counter를 사용한) 4거리 신호등 설계조건 ... 설계 목적카운터의 구조와 동작원리를 이해하고 플립플롭을 이용하여 실생활 사용에 접목시켜 카운터 구현의 응용능력을 키운다.2. ... 설계 이론 및 개요◇ 이론카운터란 일정한 주파수의 Clock를 입력 받아 분주 또는 System 순차 실행을 합니다.
    리포트 | 5페이지 | 3,500원 | 등록일 2012.07.09
  • 한글파일 순차회로 설계 - 카운터 결과보고서
    과 목 : 논리회로설계실험과 제 명 : 순차회로 설계 - 카운터담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 22논리회로설계 ... 순차회로 설계 - 카운터1. 실험 목표- 카운터의 종류와 각각의 기능에 대해서 이해를 하고, 이해한 내용을 바탕으로 VHDL 코딩 실습을 한다. ... 설계(1) 소스 코드- 8비트짜리 존슨 카운터로 카운팅 할 때마다 MSB의 값을 반전시켜서 LSB로 이동시키는 카운터이다.- 존슨카운터의 기본 주파수를 8Hz로 설정하고 VHDL
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 워드파일 dff를 이용한 카운터,쉬프터 설계
    소개글스위치 레벨로 구현된 제어 입력 신호 d을 갖는dff(마스터 슬레이브)을 이용한 동기식 카운터 회로 설계 쉬프터설계 및 검증논리회로(작성자:탁형옥 2012.8.13)`timescale
    리포트 | 5페이지 | 1,000원 | 등록일 2012.08.13
  • 한글파일 vhdl을 이용한 카운터 설계
    PurposeIf문과 When문으로 이루어진 3비트 up/down 카운터를 바탕으로 3비트 binary / gray 카운터설계한다. ... Problem Statement① Describe what is the problem.강의안의 3bit up/down counter 예제를 참고하여 binary/gray counter을 설계한다
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • 한글파일 비동기 카운터 설계
    5월 6일 실험 비동기 카운터 설계1. ... 비동기 카운터① 4bit 카운터 HDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.06
  • 한글파일 JK플립플롭,T플립플롭 3비트 2진카운터 설계
    플립플롭을 이용하여 3비트 2진 카운터 설계1) JK 플립플롭을 이용하여 3비트 2진 카운터설계하는 과정을 나타내시오.2) T 플립플롭을 이용하여 3비트 2진 카운터설계하는 ... 플립플롭을 이용하여 3비트 2진 카운터 설계1) JK 플립플롭을 이용하여 3비트 2진 카운터설계하는 과정을 나타내시오.- 3비트 카운터로 000 ~ 111 까지 즉 0~7까지 순환하는 ... 설계하는 과정을 나타내시오.- JK 플립플롭과 동일한 과정을 거친다.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.12.10 | 수정일 2018.09.20
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 03일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:56 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기