• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,501)
  • 리포트(2,314)
  • 시험자료(79)
  • 자기소개서(65)
  • 방송통신대(21)
  • 논문(17)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 81-100 / 2,501건

  • 파워포인트파일 비동기식 동기식 카운터 설계 9조
    동기식ㆍ비동기식 카운터의 구조와 동작원리를 이해한다.동기식ㆍ비동기식 카운터 회로를 구성하고 실험으로 확인한다. 순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다.
    리포트 | 19페이지 | 1,000원 | 등록일 2014.12.01 | 수정일 2016.10.19
  • 한글파일 08 논리회로설계실험 예비보고서(카운터)
    논리회로설계 실험 예비보고서 #8실험 8. 카운터 설계1. 실험 목표카운터의 개념과 종류에 대해 학습하고, VHDL을 이용하여 각 카운터설계한다.2. ... 코딩8비트 동기식 다운카운터(2) 시뮬레이션 결과- 실험 2-1. 8비트 존슨카운터 설계(1) VHDL 코딩8비트 존슨카운터 설계(2) 시뮬레이션 결과- 실험 2-2. 8비트 링카운터 ... 실험 내용- 실험 1-1. 8비트 비동기식 업카운터 설계(1) VHDL 코딩8비트 비동기식 업카운터(2) 시뮬레이션 결과- 실험 1-2. 8비트 동기식 다운카운트 설계(1) VHDL
    리포트 | 9페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 한글파일 08 논리회로설계실험 결과보고서(카운터)
    논리회로설계 실험 결과보고서 #8실험 8. 카운터 설계1. ... 실험 목표VHDL을 이용하여 카운터설계한다.설계카운터를 이용하여 RoV-Lab3000의 led와 7segment가 정해진 동작을 수행하도록 한다.2. ... 고장전부 off5) 결과 분석예비보고서에서 설계했던 존슨카운터를 바탕으로 실험을 진행하였다.카운터는 8Hz를 목표로 설계되었으므로 주기는 0.125초이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 한글파일 VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    카운터에는 비동기(asynchronous)카운터와 동기(synchronous)카운터가 있는데 비동기 카운터는 공통의 기준 클럭을 사용하지 않으므로 카운터 내의 F.F.은 동시에 상태를 ... 변경하지 않는 카운터이고 동기 카운터카운터 내부의 있는 모든 F.F.이 공통의 클럭 펄스에 의해서 동시에 상태가 변하는 카운터이다.(2-1) 동기카운터(Synchronous counter ... 그리고 이 카운터는 지금 동기 카운터이기 때문에 세 번째 F.F.부터는 And게이트를 이용해 앞에 이론부분에서 설명했던 동기카운터의 원리로 연결되어있다.앞서 했던 카운터와 기본형식은
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 디지털회로 카운터 설계 레포트
    디지털회로설계레포트11010 감지시의 LED출력 (J-K F/F이용)1. 상태도2.
    리포트 | 14페이지 | 4,000원 | 등록일 2010.07.08
  • 한글파일 카운터 설계( 결과보고서), 36진 카운터
    설계하려는 카운터 진수와 동작원리우리가 설계하려는 카운터는 36진 카운터이다. 이 36진 카운터는 0~35까지 7- segment에 출력된다. ... 설계한 36진 카운터의 블록도 및 회로도4.1. 36진 카운터 블록도4.2. 36진 카운터 회로도Ⅴ. 작동 사진Ⅵ. ... 설계하려는 카운터 진수와 동작원리...........................2,3Ⅲ.
    리포트 | 12페이지 | 2,500원 | 등록일 2010.07.12
  • 한글파일 동기 및 비동기 카운터회로 설계보고서
    설계 제목 - 동기 및 비동기 카운터회로 설계 ? ... 설계 목표비동기식, 동기식 카운터설계 과정 및 동작을 이해한다.배경이론⊙ 계수 회로 : 시간 펄스의 수를 세거나 제어 장치에서 각종 회로의 동작을 ?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 4비트 동기식 카운터 설계
    1. t_ff 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tff isport
    리포트 | 3페이지 | 2,000원 | 등록일 2011.04.03 | 수정일 2016.08.22
  • 한글파일 AVR 마이크로프로세서 설계 8비트 타이머/카운터 각종모드 예제소스
    #include #include #include unsigned char led = 0x01, cnt;ISR(TIMER0_COMP_vect){cnt++;if(cnt == 30){led
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.24 | 수정일 2017.04.21
  • 한글파일 논리회로설계실험 비동기 카운터 설계
    비동기 카운터 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_4 isport
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 파일확장자 7490 10진 카운터용 IC를 이용하여 100진 카운터설계
    개요 : 7490 10진 카운터용 IC를 이용하여 100진 카운터설계할 수 있고 이 출력값을 FND507을 이용하여 출력할 수 있다.2. ... 설계해보고, 두 카운터 IC 7490의 리셋방법에 대하여 명확한 이해를 돕는다.3. ... 목적 : 카운터 IC 7490의 동작 원리를 정확한 파악하고 FND507을 통하여 출력되는 원리를 정확히 이해하는데 그 목적을 둔다. 10진 카운터설계를 응용하여 100진 업 카운터
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • 한글파일 verilog를 이용한 up/down 카운터 설계
    Verilog를 이용한 Up Down Counter 설계 및 Test Bench(1) xilnx 를 이용하여 Up Down couter 설계(2) Test Bench 작성0 -> F
    리포트 | 2페이지 | 1,000원 | 등록일 2010.11.11
  • 한글파일 동기 및 비동기 카운터회로 설계결과보고서
    이를 이해하려면 우선 카운터의 개념부터 알고 있어야 하므로 간단히 설명하자면카운터란, 단순히 입력펄스의 숫자를 계수하는 데 사용될 뿐만 아니라 주파수 및 주기 측정, 제어 등등 많은 ... 그중에 플립플롭의 구동방식에 따라 비동기식 계수기와 동기식 계수기로 나뉘는데 이 두가지가 이번 실계의 핵심 포인트였다.직렬 카운터와 병렬 카운터라고도 하는 이들은 각각, 동기식 계수기는 ... 설계 실험결과비동기 계수기 회로도실험 결과사진이 너무 많은 관계로 1~6까지 LED출력만 올렸습니다.결과 분석우선 첫 번째 설계는 비동기 계수기를 만드는 것으로 앞에 있는 것의 출력이
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 워드파일 FPGA를 이용한 디지털 시스템 설계(인하대) Counter 카운터 보고서
    설계한다.@1. 4bit Up Counter 코딩카운터는 수를 세는 순서에 따라 Up카운터와 Down카운터로 나눌 수 있다.입력신호가 들어왔을 때, 수를 증가시키며 동작하는 카운터를 ... FPGA를 이용한 디지털시스템 설계 REPORTCounter 설계1. 실험목표이번 실험의 목표는 순차회로 중 하나인 Counter를 설계한 후 시뮬레이션 하는 것이었다.2. ... 보통 2개 이상의 플립플롭의 조합으로 구성되어 있으며 플립플롭들이 미리 정해진 순서를 따라 상태를 변경하도록 설계한다.카운터가 수를 세는 원리는 들어오는 입력신호(보통 클럭신호)의
    리포트 | 16페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 한글파일 논리회로실험17 동기식 카운터설계
    ▶ 실험결과 정리.- 이 실험을 통해 동기식 카운터설계 방법을 배웠다. ... 설계한 회로와 그림 16-1의 회로를 비교해 보시오JbKbJaKa2) 이 실험에서 다룬 순차와 역순인 동기식 카운터를 설게하려고한다. 어떻게 해야 하는가? ... 그리고 그것을 이용해 직접 카운터설계 할 수 있었다.이 번 실험에서는 2진 0-8까지의 순차 회로를 구성하였는데 5와 7은 미사용 상태로 돈캐어 처리를 하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.08
  • 한글파일 vhdl를 이용한 10진 카운터 설계
    추가적으로 Synplify 프로그램을 이용하여 설계한 10진카운트의 RTL 구조를 확인해 보았습니다. 10진카운트 설계 및 Test Bench 설계가 모두 정상적으로 이루어 졌음을 ... 시뮬레이션은 Test Bench를 설계하여 이용하였고, 모델심을 이용하여 10진 카운트로써 올바르게 동작하고 있는지 확인해 보았습니다. ... issignal tmp_CNT_OUT : std_logic_vector(3 downto 0);Beginprocess(RST, CLK)0부터 9까지의 10진수를 셀 수 있는 10진 카운트를 설계
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.11
  • 한글파일 디지털 홀소자를 이용한 카운터 설계
    Team Name : 열공모드가) 제 목 : “홀센서를 이용한 카운터”나) 학습목표:a) “74LS393 카운터와 홀센서, 기타 IC의 구동원리를 알고 회로도를 설계한다.”b) "설계한 ... (Active-Low)● 16진 카운터를 2개 내장한 SN74LS393를 10진 카운터로 변형하여 구성한 후 서로 종속 접속하면 10진 2자리 카운터(100진 카운터)를 구성하는 회로로 ... 이 원리를 이용해서 돼지 저금통 구멍에 홀 센서를 부착하여 동전을 넣을 때 자석이 내려갔다가 올라오면서 홀 센서에 신호를 넣어 주면 펄스가 발생하여 카운터의 출력이 하나씩 올라가게
    리포트 | 4페이지 | 1,500원 | 등록일 2010.06.23
  • 한글파일 VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    또, 플립플롭을 통과할 때 마다 지연되어 필요 없는 값이 생기게 된다.2.실습 내용(1) 16진 카운터클락의 rising edge에서 값이 변하는 카운터설계해 보았다. 16진 카운터 ... 또 7이 되면 다시 5로 돌아갈 수 있도록 카운터에 ’101’을 넣어줬다.RTL viewer를 통해 5-6-7반복 3진 카운터가 다음과 같이 설계되었음을 확인한다. ... VHDL 및 실습카운터 설계 및 시뮬레이션1.서론 및 배경이론(1) SR-F/FSR플립플롭의 회로는 다음과 같이 NAND게이트 두 개로 이루어져있다.
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    실험 목적Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATmega128 라이트 모듈로 ... INTERRUPT, 시간을 정확하게 측정하기 위한 TIMER, 그리고 알람을 위한 OCR의 원리를 알아야한다는 것을 알았다.1) GPIOGPIO는 범용으로 사용되는 입출력 포트이며 설계자가 ... 분석총 5가지 Mode로 설계하였으며, button 1을 이용하여 Mode를 변경할 수 있게 하였다.Mode 0 : Digital Clock가장 기본적인 기능으로써 Timer을 이용한
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 파워포인트파일 xilinx를 이용한 계수기(카운터, Counter)설계
    설계 배경 및 목표• J-K 플립플롭과 카운터의 정의와 특성을 알고 이해한다. • Load / Clear기능이 있는 카운터설계하고 Test Bench Waveform을 이용하여 ... 시뮬레이션 결과를 출력한다. • CLR기능이 있는 J-K 플립플롭 4개를 이용하여 비동기 10진 카운터설계한다. • 설계한 비동기 10진 카운터를 Test Bench Waveform을 ... 토 의이번 실습은 Load/Clear기능을 가지는 Counter와 비동기 10진 카운터설계하는 것이었다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 03일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:24 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기