• 통큰쿠폰이벤트-통합
  • 통합검색(2,498)
  • 리포트(2,317)
  • 시험자료(79)
  • 자기소개서(59)
  • 방송통신대(22)
  • 논문(16)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 121-140 / 2,498건

  • 비동기식 10진 카운터 설계 결과 보고서(MOD-10 Counter)
    펄스 발생 IC인 NE555를 이용하여 Clock Pulse를 주어, 10진 카운터가 출력되는 비동기식 10진 카운터(MOD-10) 설계를 한다.설계 순서Ⅲ1. ... 설계 결과 보고서비동기식 10진 카운터 설계(Mod-10 Counter)Team:Subject:Professor:Major:Student Number& Name::Due date:목 ... 차Ⅰ명제1Ⅱ설계 목적1Ⅲ설계 순서1Ⅳ사용 기기 및 부품1ⅤBlock Diagram2Ⅵ각 부분의 회로 구성21.NE555 발진 회로22.MOD-10 카운터3Ⅶ소자값 계산5Ⅷ회로 연결6Ⅸ컴퓨터
    리포트 | 15페이지 | 2,000원 | 등록일 2012.03.31
  • [메카트로닉스]논리회로를 이용한 주차장 카운터 회로 설계 및 제작
    1.Objective of the project-Making the parking lot indicator.-Application of the counter circuit.-Review the basic knowledge that I learned during the ..
    리포트 | 16페이지 | 4,000원 | 등록일 2011.01.24
  • 논리설계 - 카운터를 MAX-PLUS II 결과 보고서
    대해 이해하고 설계한다.목적- Ripple Counter에 대해 이해하고 설계한다.- Ring Counter에 대해 이해하고 설계 할 수 있다.- Johnson Counter에 대해 ... 제목- Lab #10 Counters- Ripple Counter 에 대해 이해하고 설계한다.- Ring Counter 에 대해 이해하고 설계한다.- Johnson Counter 에 ... Johnson Counter존슨카운터는 타이밍 신호를 생성하기 위한 회로의 플립플롭의 수를 줄이기 위해 시프트 레지스터와 디코더를 이용하여 설계한 타이밍 신호 발생이다.존슨 카운터
    리포트 | 10페이지 | 1,000원 | 등록일 2009.12.15
  • 10진 카운터 설계 기초 전기전자 실험
    10진 카운터 회로과목전기전자실험교수님황수용교수님학과전자정보공학과학번02163837이름문정일조6조제 출 날 짜2006년12월5일10진 카운터 설계● 실험의 목표-10진 카운터 이상, ... 설계한 10진 카운터의 동작 원리- NE555 IC로 통하여 디지털 신호를 부여한다.- 카운터 IC인 HD74LS90, SN74LS47을 거쳐서 7-segment에 신호를 전달한다. ... PCB size 10×10(Cm)이내, Power DC±15 이내, S/N : 90% 이상의 회로를 설계하여라.● 기본 이론1 디지탈(Digital) 신호란?
    리포트 | 7페이지 | 1,000원 | 등록일 2007.06.22
  • VHDL 쿼터스 존슨카운터 설계 코드소스 파형
    1. 4비트 존슨카운터1) 비동기 리셋VHDL 설계출력파형2) 동기리셋D플립플롭VHDL 설계출력파형2. MOD 4 bit counterj k 플립플롭VHDL 설계출력 파형
    리포트 | 3페이지 | 1,000원 | 등록일 2007.05.14
  • 16bit 동기 카운터 설계 UP & DOWN COUNTER
    16bit 동기 UP & DOWN COUNTER를 설계하라.- 전자공학과 2004144064 최민수 -1.
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.26
  • [디지털 시스템 설계]11진 카운터 만들기
    11진 카운터.hwp디지털 시스템 설계REPORT과 목 명:학 과:학 번:이 름:제 출 일:담당교수:그림 11-8그림 11-10그림 11-12문제 11진 카운터 만들기?
    리포트 | 7페이지 | 1,000원 | 등록일 2006.04.07
  • 제 10장 (결과) 플립플롭과 카운터 설계 실험
    결과보고서플립플롭과 카운터 설계 실험학과학년학번분반성 명< 실험한 것의 결과 자료 >? ... 카운터의 동작을 설명하시오. ... 한 clock이 발생할 때, 입력이 들어와 각각의 플립플롭으로 shift시키는 역할을 수행한다.- 동기식 십진 카운터동기식 카운터는 모든 플립플롭이 동시에 같은 클락 펄스에 의해서
    리포트 | 9페이지 | 2,000원 | 등록일 2007.11.03
  • 제 10장 (예비) 플립플롭과 카운터 설계 실험
    ① Master/Slave J-K 플립플롭을 verilog HDL 코드로 표현하시오.Master/Slave 플립플롭은 두단의 플립플롭을 직렬 연결한 것을 일컫는다. 앞단을 마스터, 뒷단을 슬레이브라 하며, 한 개의 클럭펄스가 동시에 마스터와 슬레이브를 동작시키도록 연결..
    리포트 | 5페이지 | 1,500원 | 등록일 2007.11.03
  • [회로실험] 논리게이트를 이용한 카운터 설계
    제작할 수 있다. 4bit를 이용하면 0~15까지 카운트하는 기본적인 카운터설계할 수 있다. ... State table을 이용해서 k-map을 그리고 그것을 토대로 회로를 설계하면 Aarbitrary Sequence Counter를 만들 수 있다. ... .- 배경 및 이론①3 bit Aarbitrary Sequence Counter (임의의 주기를 가지는 카운터)②synchronous counters③기본적인 4bit 의 병렬 카운터-예상결과
    리포트 | 10페이지 | 1,000원 | 등록일 2005.06.30
  • [전기전자기초실험]10장 - 플립플롭과 카운터 설계 실험 [예비&결과]
    R-S latch는 S와 R의 입력으로 저장할 값을 입력한 다음 R와 S를 0으로 입력함으로써 이전 입력을 저장하게 되어 있다. 위의 Truth table은 로 나타낼 수 있다. ◎Level sensitive R-S latchR-S latch의 입력 부분에 추가로 A..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.08
  • 21 동기 카운터 설계-예비,결과보고서 디지털공학실험
    21 동기 카운터 설계■ 실험목표이 실험에서는 다음 사항들에 대한 능력을 습득한다. ... ●임의 시퀀스의 16-상태 동기 카운터 설계카운터의 구성 및 검사 그리고 카운터의 상태 다이어그램 작성■사용부품7476 dual J-K 플립-플롭 2개7408 quad AND 게이트 ... 그림 21-3에서 보듯이 복잡한 카운터들도 그림 21-1에 나와 있는 간단한 카운터설계하는 절차와 근본적으로 같음을 알 수 있다.
    리포트 | 11페이지 | 1,000원 | 등록일 2008.11.16
  • verilog를 이용하여 0~99까지 segment에 출력할수있는 bcd카운터 설계
    첫 번째bcd카운터에서 0에서9로 넘어갈 때 캐리를 다음 bcd카운터로 보낸다.하지만 이렇게 설계한것은 synchronous 카운터인데 설계를 하다가 시행착오로 인해서 책에 있는 BCD ... 이렇게 구한 값들에서 clock input을 적용해서 설계할수 있다.0~99까지 출력해야 하므로 이렇게 만들어진 bcd 카운터 2개가 필요하다. ... 이값은 일의 자리 값이 9에서 0으로 될 때마다 1씩 증가한다.디지털 논리(BCD 카운터(0~99) 설계)학과:전자과4학년학번:2002122266이름:정해영제출일:2008/7/26
    리포트 | 9페이지 | 1,500원 | 등록일 2008.07.31
  • [디지털공학]디지털공학-9진카운터설계
    본문이 그림과 표위주입니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2006.08.23
  • [공학]플립플롭과 카운터 설계 실험-결과보고서
    사진을 보아도 알 수 있는데 키를 누르는 것에 따라 led_5b와 led_6b의 상태가 번갈아서 나왔다.⑤ 동기식 십진 카운터 회로의 설계 및 검증< verilog code > ... 이론과 일치한다.Clk가 변하는 순간 그 값도 변하게 되며, 이 실험은 kit로 했을 때, Clk를 누를 때마다 변하는 걸 확인 할 수 있었다.⑥ Up-Down 프리셋 카운터 설계 ... 결과 보고서① 실험을 통해 작성한 table과 파형을 참고하여 JK_MS FF, 4-bit 양방향 쉬프트 레지스터, 동기식 십진 카운터, 4-bit updown preset 카운터
    리포트 | 6페이지 | 1,000원 | 등록일 2006.12.07
  • [전기전자기초실험]플립플롭과 카운터 설계 결과보고서
    프리셋 카운터 설계 및 검증7. ... 전기전자기초실험플립플롭과 카운터 설계결과보고서6. ... 플립플롭< Time Analysis을 통해서 입력에 따른 출력이 생성되기까지의 지연값 >6-2 다양한 종류의 플립플롭 및 카운터설계 및 검증가.
    리포트 | 8페이지 | 2,000원 | 등록일 2007.06.15
  • 10월20일 실험 18, 21 단안정 및 비 안정 멀티바이브레이터, 동기 카운터 설계 예비보고서
    및 실험, 임의 시퀀스의 16-상태 동기 카운터 설계, 카운터의 구성 및 검사 그리고 카운터의 상태 다이어그램 작성에 대한 능력을 습득하고 알아본다.1. ... 실험 18, 21 단안정 및 비 안정 멀티바이브레이터, 동기카운터 설계 예비보고서날 짜 : 2009. 10. 20 6조 학 번 : A888064 이 름 : 전려리요 약특정 펄스와 트리거 ... 응용으로는 매우 정확한 시간지연 발생, 펄스발생, 분실펄스감지, 그리고 전압제어 발진 등이 있다.동기 카운터 설계에 있어서 가장 먼저 해야 할 일은 계수 시퀀스를 나타내는 상태 다이어그램을
    리포트 | 3페이지 | 1,500원 | 등록일 2011.04.14
  • [디지털 논리설계 실험]비동기식/동기식 카운터
    16진 카운터(4비트 올려세기)동기식 카운터는 비동기식 카운터와 달리 클럭이 동시 들어간다. ... 동기식 카운터의 가장 큰 차이는 Time 딜레이에 있습니다.비동기식 카운터(리플 카운터)위 회로는 2진 카운터로써, Q1이 최 하위 비트라 하고, 2진수를 사용하여0000~1111까지 ... 변하도록 한다.4.트리거 방식에 따라 카운더는 비동기식과 동기식으로 나뉨5.비동기식 카운터는 직렬카운터 또는 리플 카운터라 불린다6.앞에있는 플립플롭의 출력이 뒤에있는 플립플롭을
    리포트 | 12페이지 | 1,000원 | 등록일 2006.04.25
  • [논리회로]동기식 카운터 설계(4비트)
    순차 회로 설계(4비트 동기식 카운터)[목적]1. 4비트 동기식 카운터의 개념과 동작 특성을 익히고, PLD를 이용한 회로 구현. ... Circuit design으로 설계할 수 있다. 4비트 동기식 카운터는 (2n-1)인 0부터 15까지 계수할 수 있는 동기식 카운터를 의미한다. 4비트 동기식 카운터의 계수 순서는 ... [기본이론]카운터는 순차회로들 중에서 가장 간단한 회로이다. 4비트 동기식 카운터 설계의 순서는 1. State Diagram, 2. Transition table, 3.
    리포트 | 5페이지 | 1,500원 | 등록일 2004.09.18
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 01일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감