• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,501)
  • 리포트(2,314)
  • 시험자료(79)
  • 자기소개서(65)
  • 방송통신대(21)
  • 논문(17)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 101-120 / 2,501건

  • 파일확장자 [Flowrian] 6진 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    동작 사양- 6진 카운터 회로는 0~5 범위를 카운팅 하는 카운터를 의미한다.- 6진 카운터는 0~&를 셀 수 있는 3 비트 이진 카운터를 변형하여 0~5범위만 카운팅 하도록 설계한다 ... 리셋 단자를 이용하여 설계하는 경우가 있지만 리셋은 논리구현에 사용하지 않아야 하기 때문에 이러한 설계 방법은 옳지 않다.- 3비트 레지스터의 출력 {q2, q1, q0} 으로부터 ... 다음 클럭 상승에지에 동기되어 입력될 {d2, d1, d0} 논리값을 생성하는 조합논리회로를 설계해야 한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.06.02
  • 파일확장자 [카운터]2단 리플, 2단 동기식, 모드5 카운터 설계(제안서,결과보고서)
    명제▶ JK Flip-Flop, 7473, 7447, FND 507 및 AND, OR Gate 이용하여 2단 리플 카운터, 2단 동기식 카운터, 모드5 카운터설계하라.2
    리포트 | 8페이지 | 1,000원 | 등록일 2012.02.18 | 수정일 2015.12.26
  • 한글파일 [마이크로프로세서] 7segment 1~9999카운터 (설계)
    과목명 : 마이크로프로세서제목:7segment 1~9999카운터설계#include // AT89S51 header file#defineFND0 P1 // Port 1, FND0 Data로
    리포트 | 2페이지 | 1,500원 | 등록일 2010.12.14
  • 파일확장자 [Flowrian] 10진 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    10진 카운터 회로는 0~9 범위를 카운팅 하는 카운터를 의미한다.10진 카운터는 0~15 를 셀 수 있는 4 비트 이진 카운터를 변형하여 0~9 범위만 카운팅하도록 설계한다. 10진 ... 카운터 회로의 동작은 Verilog 언어가 제공하는 2가지 방식, Behavior와 Structure 관점에서 논리동작을 모델링한다.설계는 Verilog 언어를 이용하여 모델링 되었으며 ... , 테스트벤치도 Verilog로 작성하여 시뮬레이션으로 논리동작을 검증하고 결과 파형을 분석하여 설계가 올바로 되었음을 증명한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.11.05
  • 파일확장자 [디지털 설계 및 언어]HDL소스(각종 레지스터와 카운터)
    1)비트 레지스터-HDL코드module Reg_4_bit_beh (A3, A2, A1, A0, I3, I2, I1, I0, Clock, Clear); output A3, A2, A1, A0; input I3, I2, I1, I0, Clock, Clear; reg ..
    리포트 | 20페이지 | 3,000원 | 등록일 2010.12.08
  • 한글파일 [공학기술]VHDL을 이용한 카운터 설계
    논리회로설계실험_11조_실험일(070509)1.4bit_countersource codelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all
    리포트 | 4페이지 | 5,000원 | 등록일 2007.12.11 | 수정일 2015.06.25
  • 한글파일 [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험17) 주파수 카운터 설계
    《 실험17 결과 보고서 》조제출일학과/학년학번이름실험 (1) 실험에서 제시한 회로를 다음 지시에 따라 수정 설계하라.1. 레지스터 reset를 1비트 신호로 바꾸고,2.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 파일확장자 [Flowrian] 동기/비동기 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    비동기 카운터 회로의 Verilog 설계 및 검증2. Behavior 형식 동기 카운터 회로의 Verilog 설계 및 검증3. ... Structure 형식 동기 카운터 회로의 Verilog 설계 및 검증 ... 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1.
    리포트 | 14페이지 | 1,000원 | 등록일 2011.12.08
  • 워드파일 동기식 5진 카운터설계
    동기식 5진 카운터설계전자통신과 3학년 주간 B반200283060 이재철-동기식 5진 카운터카운터란 가산기의 일종으로 이 회로의 경우 0부터 1씩 가산되어 4까지 가산한 후 다시 ... 초기값으로 리셋되는 회로입니다. 5진 카운터란 출력 주파수가 입력주파수 1/5인 카운터를 말하는 것입니다. ... ●총 정리동기식 5진카운터는 동기식 작동을 위하여 같은 클럭·펄스 신호에 의해서 직접 “클럭” 펄스가 가해지도록 한다. 5진 카운터에서는 3개의 플립플롭을 사용 한다.각단은 펄스에
    리포트 | 4페이지 | 2,000원 | 등록일 2007.12.07
  • 한글파일 [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험13) 시프트 레지스터와 카운터설계
    시뮬레이션5② Up-down 카운터? 코드? 시뮬레이션5③ BCD (Binary-Coded Decimal) 카운터? 코드? 시뮬레이션5④ 모듈로-N (Modulo-N) 카운터? ... 이론 (2)에서 설명한 up-down 카운터의 입력으로 reset 신호를 추가하고, 이 신호가 1이되면 카운터가 초기화되는 동기식 reset 기능을 가진 up-down 카운터를 구현하고 ... 이론 (4)의 모듈로-N 카운터에 입력 신호로 run을 추가하고, 이 신호가 1이 되면 카운터동작을 수행하고, 0이 되면 동작을 멈추는 카운터를 구현하고, DE2 보드에서 동작을확인하라
    리포트 | 9페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 한글파일 디지털실험 - 동기 및 비동기 카운터 회로 설계 결과레포트
    모든 플립플롭에 클럭 신호가 병렬로 동시에 인가되기 때문에 계수속도가 빠른 장점이 있으나 비동기식 카운터에 비하여 설계하기 복잡하다는 단점이 있다.이리하여 동기식 계수기를 설계하는 ... 그리하여 비동기 계수기부터 설계하였는데우선 그에 대한 이론을 살펴보았으므로 원리 자체를 이해 하는데는 무리가 없었다.이를 이해하려면 우선 카운터의 개념부터 알고 있어야 하므로 간단히 ... ◈설계 5차-설계결과-2조 2008065321권태영1. 설계 과정◈ 논리 회로도① 비동기 계수기 회로도② 동기 계수기 회로도2. 시뮬레이션 결과① 비동기 계수기② 동기 계수기3.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.03.09
  • 파일확장자 [Flowrian] 8 비트 업/다운 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    Structure 형식 8 비트 업/다운 카운터 회로의 Verilog 설계 및 검증 ... Behavior 형식 8 비트 업/다운 카운터 회로의 Verilog 설계 및 검증3. 8 비트 레지스터의 Verilog 설계 및 검증4. 8 입력 증감기 회로의 Verilog 설계 ... 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 8 비트 업/다운 카운터 회로의 사양2.
    리포트 | 18페이지 | 1,000원 | 등록일 2011.11.05
  • 파워포인트파일 카운터 및 쉬프트레지스터 응용설계 예비제안서
    설계 예비 제안서 Counter 응용 회로 설계1. 설계 목적2. 관련 이론3. 회로도4. 소요 부품5. ... 누적관련 이론-7419210진 카운터 소자 Count up + count down 클리어 신호 → 초기화 0 → low , 9 → high유지형 수동 스위치-토글 스위치관련 이론- ... 역할 분담 및 제작 일정목 차설계 목적count 및 shift register 및 게이트를 이용한 제작 푸시 스위치를 이용하여 1회 누름 시 10초간 동작 동작중에도 버튼 누르면 시간
    리포트 | 11페이지 | 2,000원 | 등록일 2010.05.26
  • 워드파일 카운터 설계-플립플롭을이용한 digital(디지탈)설계
    한다.학생정보번호학번이름이 우 재설계카운터0->3->7->11->5->0 (각 학생에게 할당된 카운터를 적는다)설계할 Counter의 분석현 재 상 태CLK다 음 상 태Q3Q2Q1Q0Q3Q2Q1Q000000 ... 개인적으로 카운터 설계를 하면서 재미있었고, 마지막에 타이밍도를 검토할 때 제가 짠 표의값과 일치할 때 뿌듯함을 느꼈습니다.PAGE PAGE 3 ... 및 시뮬레이션결론0-3-7-11-5-0 의 카운터를 카르노 맵핑을 한다음 Max plus2를 이용하여 D F/F을 이용해 타이밍도를 그려보았습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2007.06.09
  • 한글파일 [디지털시계] ★디지털시계 설계★6진,10진,12진 카운터설계★회로구현 및 파형★
    설계 개요2. 디지털시계 설계3. T플리플롭 설계4. 6진 카운터 설계5. 10진, 12진 카운터 설계6. 카운터 회로구현 및 파형7. 디지털시계 회로구현 및 파형8. ... T플리플롭 설계- maxplus에 있는 reset단자가 없는 T플리플롭이 아닌 reset단자가 있는 T플리플롭을 설계한다.4. 6진카운터 설계5. 10진, 12진 카운터 설계6. ... 이런 식으로 아래와 같이 6진, 10진, 12진 카운터를 이용하여 시계를 설계할 수 있다.3.
    리포트 | 11페이지 | 1,500원 | 등록일 2011.12.18
  • 한글파일 플립플롭을 이용한 10진, 12진 카운터 설계 실험레포트
    )와 NAND게이트을 이용하여 원하는 수의 상태를 반복하는 카운터를 저렴한 Cost로 간단하게 설계 할 수 있습니다.실험에서 제작한 9진 카운터는 0~8의 9개의 상태를 반복하는 카운터입니다 ... Discussion실험3 앞면실험3 뒷면이번 실험은 이미 완성되어 시중에 시판되는 동기식 16진 카운터를 이용하여 임의의 N진 카운터설계하는 것입니다.Rcarry와 CLR(Reset ... 1.Title플립플롭을 이용한 10진, 12진 카운터 설계2.Name3.Abstract1) JK Flip-Flop을 사용한 Synchronous MOD 10 counter2) T Flip-Flop을
    리포트 | 17페이지 | 3,000원 | 등록일 2010.06.09
  • 파일확장자 [메카트로닉스]논리회로를 이용한 주차장 카운터 회로 설계 및 제작
    1.Objective of the project-Making the parking lot indicator.-Application of the counter circuit.-Review the basic knowledge that I learned during the ..
    리포트 | 16페이지 | 4,000원 | 등록일 2011.01.24
  • 한글파일 비동기식 10진 카운터 설계 결과 보고서(MOD-10 Counter)
    펄스 발생 IC인 NE555를 이용하여 Clock Pulse를 주어, 10진 카운터가 출력되는 비동기식 10진 카운터(MOD-10) 설계를 한다.설계 순서Ⅲ1. ... 설계 결과 보고서비동기식 10진 카운터 설계(Mod-10 Counter)Team:Subject:Professor:Major:Student Number& Name::Due date:목 ... 차Ⅰ명제1Ⅱ설계 목적1Ⅲ설계 순서1Ⅳ사용 기기 및 부품1ⅤBlock Diagram2Ⅵ각 부분의 회로 구성21.NE555 발진 회로22.MOD-10 카운터3Ⅶ소자값 계산5Ⅷ회로 연결6Ⅸ컴퓨터
    리포트 | 15페이지 | 2,000원 | 등록일 2012.03.31
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 03일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:52 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기