• 통큰쿠폰이벤트-통합
  • 통합검색(367)
  • 리포트(357)
  • 시험자료(4)
  • 자기소개서(3)
  • 논문(2)
  • 이력서(1)

"7segment display" 검색결과 101-120 / 367건

  • [A+] 연세대학교 원주캠퍼스 의공학부 기초실험(2) 11주차 REPORT
    to 7 segment decoder와 7-segment LED Display를 이용하여 2진수를 시각적으로 확인하는 방법에 대해 알아보는 것이었다. ... BCD값이 3일 때는 7segment의 a, b, c, d, g값이 1이 되어 3이라는 숫자를 확인할 수 있었다. ... BCD-7segment Decoder는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 Decoder라 명명되었지만, 실제로는 4비트 입력을 출력 a~g 총 7비트 코드로
    리포트 | 3페이지 | 5,000원 | 등록일 2018.01.09 | 수정일 2021.10.31
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다.위와 아래에 사각형 모양으로 두 개의 가로 획과 ... Decoder를 통해, 7-segment에 숫자 표시위와 같은 방식으로, 계속 Carry 신호를 분 단위, 시간단위의 Clock 신호로 연결을 하면 된다.이번 프로젝트는 초단위 디지털 ... Display를 연결하면 초 단위가 완성 된다.2. 7 Segment Display & BCD코드2.1 7 Segment Display?
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • 설계과제1 BCD 가산기
    Liquid Crystal Display) 유형 디스플레이가 있으며 이는 정보, 디지털 데이터를 숫자, 문자 또는 영숫자로 표시하는 편리한 방법을 제공한다. 7 segment 표시 ... 실험 목표7 segment 표시장치의 작동원리에 대하여 이해하고 7 segment 장치를 사용한 BCD to 7 segment 디코더에 대하여 알아본다. ... 예비 이론(1) BCD to 7 segment Decoder[그림 1] 7 segment 7 segment 표시장치는 LED (Light Emitting Diode) 또는 LCD (
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    [3]),.display(dis));bcd7seg h7(.bcd(go_hex[0]),.display(oHEX7_D));bcd7seg h6(.bcd(go_hex[1]),.display ... [11:8]),.display(oHEX2_D));bcd7seg h1(.bcd(money[7:4]),.display(oHEX1_D));bcd7seg h0(.bcd(money[3:0]) ... 동전의 나타내는 switch를 토글 시킨 후 clk을 넣어주면 그 동전의 액면가가 7segment를 통해 나오고, 같은 동작을 반복할 때 마다 금액이 더해져서 넣은 총 금액이 표시됩니다
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 마이크로프로세서 텀프로젝트(다기능 시계)
    DISPLAY_ON_7SEG는 7-Segment의 “a~dot”까지의 회로결선을 적절히 풀어서 값을 7-segment에 전달해주는 함수이다. ... 그리고 이 값은 DISPLAY_ON_7SEG에게 전달되어 진다. ... 의 7-SEGMENT출력 ONMOVFALAM_ENABLE,W;ALAM 모드인지 확인한다.SUBLW0FFHBTFSSSTATUS,Z;S SKIP, Z=1 SKIP, FF SKIP;ALAM_ENABLE값이
    리포트 | 33페이지 | 1,000원 | 등록일 2018.08.06
  • 마이크로프로세서 결과보고서 8장 - 인터럽트 이해하기와 2자리 초시계 만들기
    그러나 프로그램으로도 오차를 최소화 할 수 있으며, 이를 구현해 봅시다.; DISPLAY ROUTINEDISP; 7-segment의 표시 숫자가 2자리이므로 두자리를 순차적으로 표시해 ... 00 ~ 99 까지의초시계를 구현하였습니다.3) 0.5초 간격으로 dot가 깜빡거리게 만들어 보시오.; DISPLAY ROUTINEDISP; 7-segment의 표시 숫자가 2자리이므로 ... key_IN 변수에 값 넣기; (00: key값 없음, 01: 1번 key, 02: 2번 key)RETURNDISP2; < 다음 들어올 때>; D_1SEC 변수 내용이 LSD 7-segment
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • VHDL 디지털 시계
    이러한 깜박이는 현상을 없애려면6*7-segment/회 *30회/sec = 180 7-segment/sec를 제어할수 있어야 한다.■1/100 Second Display, Second ... ■MUX이는 7-segment의 출력은 1개이며, 6개의 7-segment 가운데 하나를 선택하기 위한 선택 핀을 set함으로서 segment를 동작시킨다. ... 이들 6개의 7-segment를 깜박거리는 현상 없이 7-segment를 동작하도록 하기 위해서는 일정 이상의 높은 주파수를 사용하면 된다.
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    rs, rw, e, vfd_data);input clk; input [9:0] key;output [9:0] Dot_com;output [13:0] Dot_data;output [7: ... tb_kit_4adder_vfd;reg [9:0] key;reg clk;wire [9:0] Dot_com;wire [13:0] Dot_data;wire rs, rw, e;wire [7: ... ), .rs(rs), .rw(rw), .e(e), .vfd_data(vfd_data)); always #(sec) clk = ~clk;
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • <논리회로실험>수체계
    스위치 테스트전원을 제거하고 그림 7의 회로를 구성하여라. 7-segment display의 핀 번호는 그림 1에 나타나 있다. 7447A는 16핀이고 7-segment는 10핀인 ... 특히 하드웨어 관점에서 이러한 변화의 용이성은 중요하다.1.2 7-segment display산술 숫자를 표시하는 가장 간단하고 자주 사용하는 방법은 7-segment구성을 이용하여 ... LED에 흐르는 전류를 제어함으로써 일부 세그먼트는 밝아지고 다른 세그먼트들은 어두워지면 원하는 문자 형태가 생성되는 것이다.그림 1. 7-segment display애노드 공통형(
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.14
  • Xilinx-ISE 응용 레포트 (7-segment)
    그리고 디지털 논리회로 실험 과목도 7-segment를 응용하는 실험이 있었기 때문에 더더욱 주제로 하고자 하였다.이론Seven-segment display는 표시 장치의 일종으로, ... 디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... 사실 이전에도 BCD-7segment과제를 했었기 때문에 더욱 실패확률이 낮았을 것이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 결과
    segment LED를 구동하는 실험이었다. ... segment LED를 구동하는 실험이었다. ... 브레드보드 내부의 저항과, 실험에 쓰인 게이트 내부를 통과하는 과정에서도 저항이 존재해 오차가 발생했다고 생각한다.실험 2) 실험 2.의 결과를 기입하라.회로도 구성입력 (BCD)출력(Display
    리포트 | 4페이지 | 1,500원 | 등록일 2017.04.02
  • 전기전자기초실험 Combination Logic Circuit Design 결과레포트 (영어)
    1D0D1D2D3D4D5D6D7XYZ0*************0101110111Compile success display Program success displayD0=1 The ... segment decoder, then calculate the maximum operating frequency when this circuit is run by clock. ... displayS=00 (S1=0 S0=0) S=01 (S1=0 S0=1) S=10 (S1=1 S0=0) S=11 (S1=1 S0=1)LED=I0 : on LED=I1 : off LED
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.01
  • 'Harley Davidson'의 building brand community에 대한 case study
    useful information.Can segment the group not only bhem. ... v=7Kn2nIk70j0Do some more Google search for the HOG (Harley Owners Group) and its brandfests.Points to ... Consumer-brand relationship: To have a consumer-brand relationship, HOG displays banners, t-shirts, and
    리포트 | 4페이지 | 1,000원 | 등록일 2019.03.10 | 수정일 2020.02.27
  • 마이크로컴퓨터(시간지연함수를 이용한 스위치 디바운싱) 프로젝트 과제/ 레포트
    segment에 현재 저장되어있는 number 변수안에 저장되어 있는 값을 Display한다. ... segment LED : 7-세그먼트 LED는 공통 캐소드 또는 애노드 구조를 갖는 8개의 LED가 연결되어 있기 때문에 점을 포함한 숫자를 디스플레이하기에 적합한 소자이다. 7-세그먼트 ... 이론: 이번 실험은 PORT-C를 출력으로 설정하고 7-segment 형태에 맞게 회로를 연결한 뒤 입력 핀으로 설정된 PORTD의0번 pin을 통하여 스위치 입력을 받아 스위치가
    리포트 | 10페이지 | 2,000원 | 등록일 2018.08.19
  • 서울성모 면접 기출 [질문 & 정답] 정리
    ~0.10초PR interval심방과 심실의 흥분 전도시간0.12~0.20초QRS complex심실 흥분전파기0.06~0.10초ST segment심실 흥분극기0.12초, 기저선상T ... 수분섭취 권장뇌척수액 누출 여부 사정두통 여부 사정하고 진통제 투여두 개내압 상승 환자, 유두 부종, 뇌종양 의심 환자에게 금기(뇌척수액의 급격한 제거)17VDT 증후군▶ Visual Display ... 140미만식후2시간 고혈당- 200이상61혈액과 소변의 PH 비교▶ 혈액 : 7.4로 약한 알칼리성소변 : 섭도
    자기소개서 | 14페이지 | 3,000원 | 등록일 2019.05.14
  • 디지털회로 실험 결과 보고서(디코더, 인코더)
    - 입력된 BCD 코드를 디코딩 하여 7-세크먼트 디스플레이 소자(7-segment display device)를 구동시키는 출력을 내보냄으로써 입력에 해당하는 10진 숫자가 표시 ... -to -7 segment 디코더란? ... (위의 LED에는 불이 켜지지 않는다.)실험 10-5 BCD-to-7 segment decoder를 이용한 FND 구동회로BCD-to-7 segment decoder를 이용한 FND
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.20
  • 마이크로컴퓨터(PWM을 이용한 DC모터 속도제어) 프로젝트 과제/레포트
    segment LED :7-세그먼트 LED 한 개를 켜기 위해서는 8개의 디지털 I/O 핀이 ATmega128과 연결되어야 한다. ... (Dynamic Display) 밑의 함수 ... 이때 속도는 Timer/Counter0 인터럽트가 걸릴 때 100단위로 바꾸어 Dynamic Display하였다.
    리포트 | 13페이지 | 2,000원 | 등록일 2018.08.19
  • 타이머 설계 ( 추가 기능 포함 )
    0x00(없음)//7 segment LED 4자리 숫자 출력 버퍼. ... = 0;int LED;const char segment_data[13] = {0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x27,0x7F,0x67,0x76,0x37 ... 100)/10;display_num[1] = (stop_watch0%10);display_num[2]= (stop_watch1%100)/10;display_num[3]= (stop_watch1%
    리포트 | 30페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 졸업캡스톤 중간 ppt
    . ▶ LCD: AV display 의 HY-1602W-202-R. 2 줄짜리 흑백 LCD.모형도 설명 차량주차 (7-segment 주차가능대수 갱신 ) 차량진출 ( 번호판재인식 , ... 번호판 인식의 오류발생 시 문제점 문제점 연구 내용 보고 내용 차후진행방향차후 진행방향 3달 연구 내용 1 2 3 4 5 6 7 8 9 10 11 12 비 고 관련 자료 및 문헌 조사
    리포트 | 15페이지 | 2,500원 | 등록일 2016.10.12 | 수정일 2019.03.19
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    . 7-segment에 대해서 이해해보았고, 이를 출력으로 이용하여 디지털 시계를 설계해보았다. ... IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이다 ... 이번 실험은 VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이었다
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대