• 통큰쿠폰이벤트-통합
  • 통합검색(367)
  • 리포트(357)
  • 시험자료(4)
  • 자기소개서(3)
  • 논문(2)
  • 이력서(1)

"7segment display" 검색결과 161-180 / 367건

  • 퀵실버몰의 패션마케팅(퀵실버몰분석/경쟁사분석/거시적환경,시장분석)
    좋아하는24.3세분시장4자기표현/개성추구형자기개성중시13.5세분시장5평범/무난 회피형타인의식적이며 평범한 것을 싫어하는 층11.3세분시장6패션리더형혁신적인 유행성향을 갖는 층14.4Life style segmentation ... Analysis of QUIKSILVERMALL- target- Item strategy (assortment mix / display / detail information)- price ... Analysis with competitors Ronin, Dimito- target- Item strategy (assortment mix / display / detail information
    리포트 | 62페이지 | 2,000원 | 등록일 2015.05.02 | 수정일 2019.03.28
  • 실험8. 인코더와 디코더 회로 예비
    다음은 7-segment의 진리표이다. ... BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 10진 숫자를 받아들이고 10진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.또한 ... 회로를 구성하여 7-segment LED를 구동확인하고 0~9 숫자의 논리식을 표시하라.그림 5입력조건7-세그먼트 출력ABCDabcdefg00000001001000110100010101100111100010013
    리포트 | 6페이지 | 1,000원 | 등록일 2013.02.02
  • 전자회로 실험 및 설계 2 자유주제(숫자 야구게임)
    RXB8 1#define TXB8 0#define UPE 2#define OVR 3#define FE 4#define UDRE 5#define RXC 7#define FRAMING_ERROR ... [6]; // segment 에 문자 및 숫자를 표시하기 위해 필요한 배열int led_cont=0xff; // led 가 켜졌다 꺼졌다를 반복 시킬때 필요한 변수의 초기값은 전부 ... }; // 0~9 + Out + Ball + Strikeunsigned char Seg_Num[6] = { 0x01, 0x02, 0x04, 0x08, 0x10, 0x20}; // segment
    리포트 | 12페이지 | 2,500원 | 등록일 2013.12.20
  • 필라멘트 램프
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.(6) 7세그먼트 표시기디지털 판독기는 LED대 ... Bar Graph Display의 동작원리와 특성을 이해한다.(2) 7-세그먼트의 동작 원리와 기능에 대해서 알 수 있다.3.실험 이론(1) 액정 디스플레이(LCD)LCD는 전기장, ... 2.910V1.6V1.8V1.실험 제목: (2-1)Bar Graph Display(2-2)LIQUID CRYSTAL(SEVEN SEGMENT) DISPLAY2.실험 목적: (1)
    리포트 | 17페이지 | 1,000원 | 등록일 2015.11.16 | 수정일 2018.04.27
  • 전기전자회로응용 레포트
    7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 이것이 트랜지스터의 증폭작용이다.# 7-segment 종류와 특성, LED 종류와 특성 및 사용분야1. 7-segment란? ... 특성7-segment는 A~G까지 각각의 세그먼트들은 모두 개별적으로 연결되어 있으며, 개별적으로 저항이 연결되어 있다는 특징이 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.12.03
  • VHDL을 이용한 세계시계 구현
    , segment1, segment2, segment3, segment4를 통해 출력을 하게 된다.이는 최종적으로 8개의 7-segment와 한 개의 Green LED에 출력된다.2 ... 모드 변경o Push Button을 통해 4가지의 모드변경이 가능.7번째 7-segment에 표시.Block Diagram1. 4개의 Input인 clock, button1,2,3이 ... VHDL코드에서 segment파일은 display블록에서 사용하는 함수들을 모아놓은 것이기 때문에 블록 다이어그램에서는 생략하였다.VHDL Code for Each Block1.
    리포트 | 16페이지 | 4,000원 | 등록일 2012.05.18
  • 설계제안서(5bit Integer Divider by 3 and the A through J)
    at 7-segment displays separately.(4) displays the quotient using the letters A through J instead of ... 2) The 5-bit inputs is available from toggle switches.(3) The outputs of a quotient and a remainder display ... (5) VerificationVerify the correctness of the final design manually or using simulation
    리포트 | 6페이지 | 2,000원 | 등록일 2012.11.01
  • 전자회로실험및설계 : 7-segment (7세그먼트) 예비보고서
    또한 7-segment는 FND라고도 부르는데, FND는 Flexible Numeric Display의 약자이다. ... , Hyperlink "http://en.wikipedia.org/wiki/Seven-segment_display" http://en.wikipedia.org/wiki/Seven-segment_displayEleparts ... 7-segment 예비보고서1) 7-segment란?7-segment는 간단한 디스플레이의 일종으로 7개의 LED로 10진수 아라비아 숫자들을 표시할 수 있게 만든 소자이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • [컴퓨터공학기초설계및실험2 보고서] Traffic Light Controller
    Tb에 상관없이 클락이 뛰니 S3상태에서 다음 상태인 S0(00, 10)으로 넘어간 것이 확인된다.합성(synthesis) 결과RTL viewerprint_traffic_to_7segment ... 모듈에서 Traffic light controller 모듈과 7segment decoder 모듈이 instance되어있다.Traffic light controller은 next state ... decoder의 display content이다.flow summaryTotal logic elements 수는 3, Total registers는 2, Total pins는 32개이다.고찰
    리포트 | 11페이지 | 1,500원 | 등록일 2015.04.12
  • 전기전자 기초실험(영문)
    We will display the clock by using the seven 7-segment. ... , and oscilloscopes.- The input/output characteristics of 7-segment display(? ... The 7-segment may show the number which we want by the proper input.
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.27
  • 디지털실험 4예비 실험 4. 엔코더와 디코더 회로
    BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.4. ... BCD to 7-Segment 디코더에 대해 설명하라.디지털회로는 LED(light emitting diode) 또는 LCD(liquid crystal display)와 같은 디스플레이 ... BCD-7세그먼트 디코더(BCD to 7-segmemt diode)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 실험8결과 Counter
    이를 표시하기 위해 7-segment를 사용하였다. ... 이 BCD code는 7447을 지나며 7-segment를 위한 신호로 decode된다.4) SIPO(Serial Input Parallel Output)실험 7에서 다루었던 Shift ... 이 회로에서 7447은 정확히 decoder가 하는 역할을 맡았음을 확인할 수 있다. decode된 신호는 7-segment로 들어가서 Decimal 형식으로 표시된다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.05.13
  • 전자공학실험1 실험7장 결과보고서 : 디코더와 멀티플렉서
    동작 원리를 익히고 7-segment LED에 display하는 모양에 따라 디코더를 설꼐할 수 있도록 한다. ... 7447 : 7- segment decoder1)그림 7.7의 회로를 Bread Board에 구현.2)입력 DCBA의 조합을 통해 7-segment LEDA에 나타나는 결과를 표시.DCBA0 ... 결과보고서전자공학실험1실험7 : 디코더와 멀티플렉서조 :실험일자 : 2012.4.20제출일자 : 2012.5.41.목적디코더와 디멀티플렉서의 기능과 동작 원리, 7-segment 디코더와
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.31
  • 실험4 프로젝트 보고서
    MCU AT89S51(U78051)ⅱ. 74LS47ⅲ. 7-segment(common-anode type)ⅳ. 7805 Regulatorⅴ. ... 무변화#define QS7 0x07 // 01 11 S1 S2+ + 증가#define QS6 0x06 // 01 10 S1 S3+ ? ... (t, v, u); //fnd_display함수를 이용하여 counter값 출력}}void pulse_counter() { // 펄스 업/다운 카운터* P3.2 = Pulse Counter
    리포트 | 26페이지 | 2,500원 | 등록일 2015.12.25
  • 전기전자 기초실험
    We will display the clock by using the seven 7-segment. ... The 7-segment may show the number which we want by the proper input. ... The number on the left side is expressed by 7-segment output. So we can know it.
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.27
  • [Lab#4]7-Segment LED Display 실습
    [실습#2]7-segment LED Display구동과 각 segment의 핀 번호 찾기1)다음 회로에서 Anode Common 7-Segment LED Display 각 핀에 대응하는 ... 펄스를 순선대로 입력시킬 때 7-segment LED display의 출력 값을 실습으로 확인하시오.펄스(BCD) 입력비 고7-segment display0출력 없음28539- 7447 ... .#4]74LS147 10-line to 4-line Encoder, 7-Segment LED Display, 74LS47 BCD to 7-segment LED Display Decoder-driver
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • 증강현실 비즈니스
    시장 세분화(market segmentation)의 극단인 개인화다. 향후 마케팅은 IT기술의 도움으로 ‘지능화’, ‘개인화’될 것이다. ... 측면이다.증강현실을 증강현실로 만들어주기 위한 필요기술은 센서, 마커(marker), 렌더링(rendering), 디스플레이(display) 등이다. ... 현실공간으로 가져오는 것(2) 혼합현실(3) 현실에서 가상을 만날 수 있는 기회(4) 일반 대중의 능력을 증강시켜 줌(5) 현실과 가상의 합집합(6) 현실을 확장, 강화하는 기술(7)
    리포트 | 3페이지 | 1,500원 | 등록일 2016.08.09
  • Combination Logic Circuit Design
    -There is the delay matrix of 7-segment decoder in experiment result segement. ... segment decoder, then calculate the maximum operating frequency when this circuit is run by clock. ... A to D) Get its True/False table.input7-segment outputABCDabcdefg000001111110100010110000200101101101300111111001401000110011501011011011601100011111701111110000810001111111910011110011A10101110111C10111001110a11001111101b11010011111c11100001101d11110111101A
    리포트 | 6페이지 | 1,500원 | 등록일 2012.11.27
  • [컴퓨터공학기초설계및실험2 보고서] Ripple-Carry Adder (RCA) design
    Viewer설계된 7segment의 내부가 잘 보여져있다.Technology Map ViewerFlow SummaryFPGA board targeting 결과아래는 4-bit RCA를 ... ]7-Segment Display Outputs4’h07’b100000004’h17’b111100114’h27’b010010024’h37’b011000034’h47’b001100144 ... segment를 설계한 후 DE2-70을 사용하여 검증한 결과이다.0001(3) + 0001(3) = 6이므로 LED에 6이라는 숫자가 뜬 것을 볼 수 있다.1111(F) + 1111
    리포트 | 20페이지 | 2,000원 | 등록일 2015.04.12 | 수정일 2015.04.24
  • 논리회로(7-Segment) Term
    segment에 0이 display되는 것을 확인할 수 있었다.(2) 기판에 납땜을 하여 완성된 7-Segment- 회로 구성 및 납땜까지 완성하여 0~9까지 display됨을 확인 ... 서론 ( 설계 개요 )이 설계의 목적은 7-segment의 구조 및 동작 개념을 이해하고 segment의 구동 및 사용법을 확인 후 논리회로에 대한 구성 및 동작을 확인해보는 것이다 ... 설계 과정1) 이론(1) 7-Segment 설계를 위한 진리표(Truth Table)- active low로 설계하여 0 일 때 입력으로 받아들인다.- 0~9까지만 display되므로
    리포트 | 6페이지 | 1,500원 | 등록일 2012.02.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:32 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대