• 통큰쿠폰이벤트-통합
  • 통합검색(367)
  • 리포트(357)
  • 시험자료(4)
  • 자기소개서(3)
  • 논문(2)
  • 이력서(1)

"7segment display" 검색결과 121-140 / 367건

  • verilog code - (combo kit) 10진수 2자리수 나눗셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... (dot 은 각 7-segmentdisplay 자리에 하나씩 있으므로 자리수를 차지하지 않는다.)그러므로 8개의 display 중 입력값을 나타내는데 쓸 수 있는 display수는 ... segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ‘/’으로 출력해야 하고, 첫 번째 keypad 입력을 누르고 떼는 그
    리포트 | 15페이지 | 2,000원 | 등록일 2014.04.25
  • 컴퓨터구조 설계 프로젝트 DashWatch
    Segment : displayed in BCD on a 7-segment LCDwhich displays four BCD digits B1, B0, B-1, B-2,each of ... vectorTM10001 data bcd to segment for display4-Bit RegisterTM01000 data bcd to segment for display4- ... Bit RegisterTM0010-1 data bcd to segment for display4-Bit RegisterTM0001-2 data bcd to segment for display4
    리포트 | 16페이지 | 1,000원 | 등록일 2014.07.09
  • 자판기설계원리 모듈별 코드분석.
    일의 자리는 항상 ‘0’ 이므로 , Top module 에서 정의하여 사용한다 . - 자판기 설계에서 12bit 의 금액을 입력받아 각 단위의 수를 구하여 금액의 각 단위의 값을 7segment ... 에 display 하기 위해서 필요하다 [11:0] go_register 표시기 For display hex_mux [7]- 천단위수 hex_mux [6]- 백단위수 hex_mux ... 가지 : 1500, 1000, 750, 500, 250, 100, 50 상품 개수 선택가능 seven segment 를 이용해서 개수 표현설계 구조 i sw [3:0] isw [15
    리포트 | 37페이지 | 1,500원 | 등록일 2015.11.26
  • verilog code - (combo kit) 10진수 2자리수 곱셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ‘×’으로 출력해야 하고, 첫 번째 keypad 입력을 누르고 떼는 그 ... segment, VFD의 각각의 세부적인 출력 방법이 다르기 때문에 그 점을 유의하면서 코딩해야한다.?
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • 디지털실험및설계 결과6(복호기와 부호기)
    연결이 복잡한 만큼 오류도 많이 났지만 집중을 해서 하나하나 연결하면 실패를 덜 할 수 있다.(3) BCD-to-7 segment 복호기결과를 사진으로 찍어야 한다는 생각을 못해서 ... 그림을 가져왔다.앞의 실험의 결과들이 7-segement를 통해 표현되었다.우선 결과를 살펴보면 무난히 0부터 9까지 나왔다. ... 또, 저항을 연결 해줘야하는데 안 해주고 작동했을 때결과 값을 떠나서 7-segement가 탈 수가 있으므로 주의해야한다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 설계실습 11. 7-segment / Decoder 회로 설계 예비
    board1대저항(330Ω)8개Decoder(74LS47)1개Hex Inverter(74LS04)8개7-segment1개Toggle Switch4개점퍼선다수1. 7segment7segment ... (3) Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계하라. ... 설계실습 11. 7-segment / Decoder 회로 설계1.목적 : 7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2.준비물직류전원장치1대멀티미터 또는 오실로스코프1대Bread
    리포트 | 6페이지 | 1,500원 | 등록일 2010.11.12
  • 메카트로닉스-LAB #4 (Digital Integrated Circuit)
    구성 능력을 배양한다.Parts list7segment LED7490 decade counter 7447 display decoder7segment는 여러 개의 segment를 동일 ... 예로는 이진 카운터, 2로 나누는 카운터, 그리고 4로 나누는 카운터 등이 있다.Exercise resultsExercise01: 7 segment display 회로구성7segment ... 십의 자리를 다른 7segment에서는 일의 자리를 표현하는 회로를 구성한다.일의 자리의 7447 display decode의 D(6번핀)와 십의 자리의 7490 decade counter의
    리포트 | 7페이지 | 3,000원 | 등록일 2014.01.28
  • OLED 세미나
    OLED 시장 1) Display Global 시장 규모 및 전망 2) OLED displays: CAGR by market segment 2014-2025 → 2020 年 OLED ... Flexible Display Curved Display OLED 조명7. ... 구조 ( 직렬 연결 구동 전압 증가로 신뢰성 문제 발생 ) S3 S4 PenTile G 인광 물질 개발{nameOfApplication=Show}
    리포트 | 13페이지 | 3,000원 | 등록일 2016.03.29
  • 경북대학교 전자공학실험1 올A+ 결과보고서 7장
    실험목적-디코더와 멀티플렉서의 기능과 동작원리, 7-SEGMENT 디코더의 동작원리를 익히고 7-segment LED 에 display 하는 모양에 따라 디코더를 설계할 수 있도록 ... 한다.- 멀티플렉서의 동작과 기능을 익히고 여러 소스의 데이터를 한 개의 bus를 통해 전송하는 방법을 이해한다.2 .실험내용실험 1 - 7447 : 7-segment decoder0 ... 다르고,모양은 동일1μs1MHz0111주기는 다르고,모양은 동일0.1μs10MHz0입력D0D1D2D3D4D5D6D7※분석- 여기서 사용한 74151 MUX 는 8개의 Data source
    리포트 | 6페이지 | 3,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • 서강대학교 디지털논리회로실험 9주차결과
    7-segment에 각기 다른 숫자를 display할 수 있었다. ... 초기에는 counter의 출력이 0000이었고 이 때 7-segmentdisplay되는 data는 0으로서 7-segment의 h-a까지 신호가 11000000이었음을 알 수 있다 ... 실제 7-segment에 ROM의 data를 읽어 display하는 실험을 진행하기 위해 구현한 회로는 다음과 같다.
    리포트 | 7페이지 | 2,000원 | 등록일 2014.01.02
  • 설계실습 11. 7-segment / Decoder 회로 설계 결과
    설계실습 11. 7-segment / Decoder 회로 설계1. ... 이번 설계실습 계획서를 애노드형 7-segment로 작성하여 그대로 실습하지 못하였지만 캐소드형 7-segment와 큰 차이가 없어 약간의 회로 수정을 통해 원하는 결과를 볼 수 있었다 ... -segment의 원리를 알고 나니 실상 좀 싱거운 면이 있었지만 그래도 회로 설계시 많이 쓰이는 7-segment 실험을 하게 되어 뜻 깊은 실험이었다.
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • 실험4 프로젝트 보고서 ( 소스 해설 필수자료 ) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    그리고 7447에서 나오는 핀에 저항을 달아 주는데, 그 이유는 저항을 설치하지 않고 바로 7segment에 연결했을 경우 7segment가 견딜 수 있는 전류의 값보다 크게 되어 ... 안정적인 발진을 도와주는 역할을 한다.2저항1㏀7segment와 SN74LS47사이의 저항은 전류를 제한한다. 7segment에 불이 들어오는 경우에는 5V 노드에서 SN74LS47 ... 회로 분석1) 78052) 7447과 7segment3) 80514) CLK와 스위치5. 사용된 부품6. C코드 분석7. 결 과 물8. 고 찰1.
    리포트 | 29페이지 | 3,500원 | 등록일 2014.12.16
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    7-segment 표시기를 갖는 BCD Counter7-segment 표시기를 갖는 BCD Counter(7490)7-segment 표시기를 갖는 BCD Counter(7447)※ ... 숫자가 7-segment에 표시된다.③ lap 기능 : 시간이 경과되는 중에 start 버튼을 한 번 더 누르게 되면 누를 때의 시간이 7-segment에 표시되는 상태를 유지하고, ... 설계 준비물- FPGA 보드(Altera DEO nano), 연결보드(FPGABread Board), 저항(330Ω)-5EA , 7-Segment Numeric LED Displays
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 서강대학교 디지털논리회로실험 3주차결과
    결과는 다음과 같다.▲ 7-segment display에 표시된 결과. ... Code에서는 ‘fnd’ pin으로 설정해주었다.▲ 7-segment Decoder의 VHDL codePin mapping을 통해 7-segment display에 Logic을 표시하였다 ... 따라서 7-segment display에서 DP는 항상 켜져 있는 상태가 된다.▲ 7-segment 출력값12345678910③ Priority Encoder● 74LS148은 Priority
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 디코더, 인코더 예비보고서
    수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다. ... 이것은 BCD로 나타내는 숫자 신호를 7편(segment)의 십진수 구성요소들로 복호시킨 후 이를 해당 발광다이오드(LED : Light Emitted Diode)들에 연결하여 십진 ... 흔히 사용하는 예로는 계산기에 있어서 연산회로로부터 나오는 BCD 부호를 발광다이오드를 이용한 10개의 수치로 나타내는 수치 디스플레이(numeric display) 장치를 들 수
    리포트 | 2페이지 | 1,000원 | 등록일 2015.12.20
  • Lab#08 Application Design1
    -SegmentSeven segment display라고도 하며, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... Post-Lab ReportLab#08 Application Design1@ 7-segment and Piezo_Control담당 교수강 상 혁담당 조교실 험 일실 험 조학 번이 름Contents1 ... Purpose of this lab7-segment와 Piezo의 특성을 이해하고, 이를 통하여 응용회로를 작성한다.나.
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • 컴퓨터구조 설계 프로젝트 Handheld PIG Game
    : controtDDIS7-bit LED die display arrayData output vectorSUB14-bit 7-segment pair(a, b, c, d, e, f, ... outputTP214-bit 7-segment pair(a, b, c, d, e, f, g)to Player 2 displayData output vectorP11: Player ... 2-digit BCD-to-7-segment converter in order to drive the 2-digit LCD- in order to detect a win :one
    리포트 | 23페이지 | 1,000원 | 등록일 2014.07.09
  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    7-segment 표시기를 갖는 BCD Counter7-segment 표시기를 갖는 BCD Counter(7490)7-segment 표시기를 갖는 BCD Counter(7447)2) ... 설계 준비물- FPGA 보드(Altera DEO nano), 연결보드(FPGABread Board), 저항(330Ω)-5EA , 7-Segment Numeric LED Displays ... 준다면 7490이 카운팅 하다가 정지했던 시간을 7segment에서 표시 해줄 것이다.※ 회로에서 Decoder의 역할: 디코더를 통해 첫 번째 7segment의 Vcc를 출력할
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    참고로 이렇게 7-segment의 자리를 지정해서 출력하는 방식을 dynamic display 방식이라고 하므로 모듈 이름을 dy_segment라고 지정했다.2. dot matrix ... 수와, 덧셈 결과값을 7-segment에 출력한다. ... 처음에 comboⅠ 키트에서 입력받은 수를 첫 번째, 두 번째 7-segment에, 두 번째로 comboⅠ 키트에서 입력받은 수를 세 번째, 네 번째 7-segment에, 그 두 수를
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 인코더와 디코더 회로 - 결과
    결과보고서 -- 8조 -정보통신공학부인코더와 디코더 회로- 실험의 목적 -(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment ... 회로를 구성하여 7-Segment LED를 구동확인하고 0~9숫자의 논리식을 표시하라.입력 (BCD)출력 (Display)A _{3}A _{2}A _{1}A _{0}abcdefg사진 ... 또한 7-Segment(숫자표시기)를 이용하여 입력에 따라 출력되는 숫자의 형태를 확인하고 위의 디코더, 인코더, 7-Segment의 진리표를 확인한다.- 실험 회로 -2개의 입력을
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대