• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(347)
  • 리포트(316)
  • 자기소개서(30)
  • 이력서(1)

"VHDL설계실험" 검색결과 181-200 / 347건

  • VHDL를 이용한 FSM 설계 및 키트 사용법
    과 목 : 논리회로설계실험과 제 명 : FSM설계 & 키드 사용법담당교수 : 김 종 태학 과 : 전자전기공학학 년 : 3 학 년학 번 :이 름 :제 출 일 : 08. 05. 14Introduction1 ... rst_n : in STD_LOGIC;mode : in STD_LOGIC;cnt : out STD_LOGIC_VECTOR (2 downto 0));end bin_gray_cnt;( VHDL ... 세그먼트를 실행하기 위해 설정해 주어야 할 것을 익힌다.- pin 할당하는 방법 및 기타 설정 법을 배운다.키트를 이용하여 실제 값이 제대로 나오는지 확인한다.2) FSM 설계FSM은
    리포트 | 13페이지 | 1,000원 | 등록일 2010.05.27
  • [논리회로실험] 실험7. shifter
    실험에서는 VHDL을 이용하여 순차회로를 설계하였다. ... 과 목 : 논리회로설계실험과 제 명 :VHDL을 이용한 순차회로설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.05..Introduction이번 ... 이번 실험을 통해서 asynchronous reset을 사용하면서 asynchronous reset에 대한 이해도 할 수 있었다.Design① Describe what your circuit
    리포트 | 14페이지 | 2,000원 | 등록일 2014.03.22
  • Gray code converter design - 디지털회로설계 프로젝트1
    설계과정의 마지막부분에서 실험결과를 가지고 다시 작성한 truth-table을 보면 처음에 설계에서 주어진 조건 즉, gray gode converter를 만들라는 조건을 만족한다. ... VHDL은 상위의 동작 레벨에서부터 하위의 게이트 레벨까지 하드웨어를 기술하고 설계하도록 하는 CAD 업계 및 IEEE 표준언어이며 미국 정부가 지원을 공인한 하드웨어 설계 언어이다.VHDL은 ... 이erter를 설계하고, 시뮬레이션을 통하여 동작을 확인한다.위의 설계과정 (2)에서 구한 식으로 gray code converter를 설계하기 위해 VHDL code를 짜면 다음과
    리포트 | 11페이지 | 1,500원 | 등록일 2014.02.17
  • 2016년 하반기 sk텔레콤 자기소개서
    하지만 실패를 맛 본 건 임베디드 시스템 수업과 디지털합성설계 수업. 이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. ... 무엇이 잘못 됐나 발견을 못하고 결국 처음부터 설계를 하기 로 했습니다. 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. ... 많은 시간을 VHDL설계 하는데 투자를 했지만 완성을 못하고 다른 시험공부도 못한 것이 바보 같았습니다.
    자기소개서 | 6페이지 | 5,000원 | 등록일 2016.10.17 | 수정일 2017.09.21
  • 디지털 시스템 실험 Sequential Circuit 설계 및 구현 예비보고서
    디지털 시스템 설계실험 예비 보고서작성자:학번:실험조:실험일:실험제목Sequential Circuit 설계 및 구현실험목표1. 동기식 UP/DOWN 카운터를 설계한다. ... 장비에는 Full color LED 가 4 개가 있기 때문에 모두 같은 값이 출력되도록 4 bit로 구성한다.디지털 시스템 설계실험 ... 주로 보는 사람과의 거리가 가까운 실내 전광판 등에 주로 사용한다.Full Color LED 를 확인하기 위해서 간단하게 다음과 같이 VHDL 로 구현할 수 있다. 3 bit의 데이터
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 순차회로 설계 - 카운터 예비보고서
    순차회로 설계 - 카운터1. 실험 목표- 카운터의 종류와 각각의 기능에 대해서 이해를 하고, 이해한 내용을 바탕으로 VHDL 코딩 실습을 한다. ... 과 목 : 논리회로설계실험과 제 명 : 순차회로 설계 - 카운터담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 15논리회로설계 ... 실험 예비보고서 #8실험 8.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • 실험5. Decoder & Encoder 예비보고서
    공학 기초지식과 전문지식을 활용하여 전자공학의 시스템, 부품, 공정, 방법을 분석하고 설계하는 능력을 기른다.2. ... 보통 독립형의 집적 IC회로에 쓰이고 VHDL 이나 Verilog같은 하드웨어 언어 수단으로서 복잡한 IC회로에서 합성되기도 한다. ... 실험 목적디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2.
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.07
  • 메모리설계
    RAM Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity ram is port( CE, RD, WR : in std_logic; ADDR, IN_DATA : in std_..
    리포트 | 3페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • Vhdl을 이용한 8x1 MUX 설계
    Xilinx92i/mux/mux2/tb_mux8.vhd-- Project Name: mux2-- Target Device:-- Tool versions:-- Description:---- VHDL ... 그림과 같은 8x1 MUX를 설계하기 위해 우선적으로 2x1 MUX를 설계한다. ... 그후 new source 명령을 통해 8x1 MUX를 설계할 소스파일을 하나 생성한다. 그 후 component 명령어를 사용하여 앞에서 설계했던 2x1 MUX를 불러온다.
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • LG전자 VC사업부 2017년 상반기 공채 연구개발 합격 자기소개서(자소서)
    또한 교환학생 시절, 네덜란드의 실험을 중요시 여기는 학풍으로, amplifier, oscillator, RF remote controller 등의 회로를 직접 설계해보기도 하였습니다 ... 그래서 현재 VHDL과 C언어 공부를 위하여, 디지털 시스템과 프로그래밍 실습 과목을 충실히 수행하고 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.06.09
  • [논리회로실험] 실험6. ALU kit
    실험에서는 이보다 조금 더 심화된 내용인 VHDL설계한 'ALU'를 직접 Kit에 입력하고 작동을 확인해봤다. ... 여기서는 그대로 원상태 유지하는 것, 그리고 좌우로 이동하는 것을 의미한다.이번에는 VHDL설계하는 것이 끝이 아니라 실제 Kit에 옮기는 작업이 필요하다. ... 이번 실험에는 총 11개의 state를 사용하여 11가지의 연산을 수행하는 ALU를 설계했다.Design① Describe what your circuit does이번에 설계할 회로는
    리포트 | 25페이지 | 2,000원 | 등록일 2014.03.22
  • 구조적 모델링
    구조적 모델링? Half AdderLibrary IEEE;use IEEE.std_logic_1164.all;entity h_addr isport(x, y : in std_logic;s, c : out std_logic);end h_addr;architecture beh..
    리포트 | 7페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 비교기설계
    1Bit Library IEEE; use IEEE.std_logic_1164.all; entity bit_1 is port( A, B : in std_logic; EQ : out std_logic ); end bit_1; architecture behave_bit_1 ..
    리포트 | 4페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 7세그먼트
    7-Segment Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity seg_7 is port( CLK_4M, RSTB : in std_logic; SEG : out std..
    리포트 | 4페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 서강대학교 디지털논리회로실험 3주차결과
    토의● Truth table을 토대로 Logic equation을 도출하여 2-to-4 decoder를 설계하였다. ... 이를 Schematic으로 그리고 또한 VHDL code로도 작성하여 TTL로 실험한 결과와 일치하는지를 살펴보았다. 7-segment decoder의 경우도 마찬가지로 Quiz로부터 ... VHDL을 이용한 회로의 구현방식을 이해한다.3.
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 아주대 논리회로실험 프로젝트 FPGA를 통한 VHDL 구현 프로젝트 - 비밀번호
    FGPA를 이용한 VHDL 구현 프로젝트 - 비밀번호#1. 설계 목표-. ... 더불어 신호의 저장, shifting, 7 segment 등 기존 논리회로실험에서 실험한 내용들을 직접 구현시켜 관련 지식을 더 효과적으로 정립할 수 있었다. ... FPGA Kit에 있는 7 segment 모듈과 Keypad 모듈을 활용하여 디지털 잠금 장치를 설계한다.#2.
    리포트 | 28페이지 | 3,500원 | 등록일 2016.07.09
  • 논리회로실험 5주차 예비보고서
    예 비 보 고 서5주차부울대수의 간소화(2)Verilog HDL code 이용분반 : 0성명 : 000학번 : 2010000실험일: 0000.00.001. ... HDL (Hardware Description Language)하드웨어 기술 언어로서 VHDL과 Verilog HDL로 두 가지의 종류가 있다. ... HDL은 설계의 효율을 극대화함과 동시에 설계 기간을 단축, 검증 정확도를 향상시킨 언어이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 - 제 1장 기본 논리 게이트 (AND, OR, NOT) 결과 보고서
    작동하는지 확인하는 실험이다.2. ... XOR gate의 진리표와 기호는 다음과 같다.(2)어떻게 이 회로를 구성할 것인가1) VHDL 코드를 구성하는 기본 설정(1)기본 설정AND, OR, XOR 게이트를 한 architecture에서 ... bagic_gate로 설계한다.다음으로 앞에 설계한 회로를 동작시키기 위해서 testbench 파일을 만들어서 코드를 작동시켜 보도록 한다. entity와 architecture,
    리포트 | 8페이지 | 1,000원 | 등록일 2014.08.15
  • [논리회로실험] 실험8. counter
    실험에서는 VHDL을 이용하여 Finite State Machine의 한 종류인 counter를 설계하였다. ... 과 목 : 논리회로설계실험과 제 명 : Binary/gray counter 설계담당교수 : 김종태 교수님학 과 :학 년 : 3학 번 :이 름 :제 출 일 : 2013.05.21.Introduction이번 ... 이번 실험에서도 통해서 asynchronous reset을 사용하면서 asynchronous reset에 대한 이해도 할 수 있었다.Design① Describe what your
    리포트 | 17페이지 | 2,000원 | 등록일 2014.03.22
  • [논리회로실험] 실험9. detector
    실험에서는 VHDL을 이용하여 Finite State Machine의 한 종류인 '유한 문자열 인식기'를 설계한다. ... 과 목 : 논리회로설계실험과 제 명 : 유한 문자열 인식기 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.05.27.Introduction이번 ... 이번 실험에서는 reset이 작동되면 처음 상태로 되돌아가게 설계해준다.Design① Describe what your circuit does이번에 설계할 회로는 '유한 문자열 인식기'이다
    리포트 | 10페이지 | 2,000원 | 등록일 2014.03.22
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대