• 통큰쿠폰이벤트-통합
  • 통합검색(487)
  • 리포트(481)
  • 자기소개서(3)
  • 시험자료(3)

"아주대학교 논리회로" 검색결과 201-220 / 487건

  • 아주논리회로실험 실험예비9 D/A & A/D Converter (DAC & ADC)
    수 있다는 장점이 있다.(2) A/D converter아날로그 입력전압을 2진 디지털 신호로 변환하여 출력하는 회로로 기본적으로 증폭기, 필터회로, 클램프 회로, 기준전원 발생회로 ... 그러나 높은 분해능을 갖게 하려면 정밀 저항 회로와 비교기의 수가 많아져 회로가 복잡해지고 가격이 비싸진다. ... 스위치가 on에서는 이상적으로 SHORT회로에 가깝게 동작해야 한다. 스위치의 저항은 부하 회로망과 임피던스 분배기를 형성하게 된다.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [A+ 예비보고서] 아주논리회로실험 실험10 'D/A & A/D converter'
    -DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다. ... typeramp typecounter typesuccessive approximation A/D Converter(2) Analog to Digital Converter실험11) 회로 ... 사이iii) 7404 핀 6과 7405 핀 5 사이6) 10.5KΩ 저항에 68KΩ을 병렬로 연결하고 파형을 그려라. 68KΩ를 제거하고 68KΩ 사용 전후를 비교하라.실험21) 실험1 회로
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • [A+ 결과보고서] 아주논리회로실험 실험10 'D/A & A/D converter'
    -DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.27
  • [아주대] 논리회로실험 10장 예비(DA & AD converter(DAC & ADC))
    DAC는 digital신호를 analog 신호로 만들어주는 회로를 말하며, ADC는 analog 신호를 digital 신호로 변환해주는 회로를 말한다.D/A converterD/A ... 그리고 68kOMEGA 이 없을 때와 비교한다.< 실험 2 >실험1 회로의 출력단에 위와 같은 비교기 회로를 추가한다. 1KHz pulse를 single pulse로 바꾸고 (스위치 ... 이해한다.RESUME OF THEORYD/A & A/D converter는 말 그대로 analog 신호를 digital신호 혹은 digital 신호를 analog신호로 변환해 주는 회로
    리포트 | 8페이지 | 2,000원 | 등록일 2013.09.25
  • 아주대_논리회로실험_예비5_래치와 플립플롭
    편의상 주로 상승 모서리 트리거 방식 플립플롭을 기준으로 설명한다.◆ LatchLatch는 하나 이상의 비트를 저장하기 위한 논리회로이다. ... 상승 모서리 트리거 방식 플립플롭과 하강 모서리 트리거 방식 플립플롭은 출력 값이 변하는 시점만 다를 뿐 출력의 논리 값을 결정하는 방법은 동일함으로 앞으로 플립플롭을 설명할 때는 ... 회로가 붙어 있음을 알 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.04
  • [아주대] 논리회로실험 10장 결과(DA & AD converter(DAC & ADC))
    그 결과 위와 같이 파형이 관찰되었으며 아주 미세한 차이만 있다는 것을 확인할 수 있었다.즉, 실험 전 예비보고서에서 썼듯이 74HC90소자에서 0부터 10까지 해당하는 디지털 신호를 ... 그러나 역시 계단파형의 간격이 작아서 변화가 잘 관찰되지는 않았다.< 실험 1 > 회로도구성한 회로 사진< 실험 2 : ADC >● 실험2 : ADC-실험1 회로의 출력 단에 위와 ... 사진Rf=1.5k OMEGA Rf=2.7k OMEGA실험1은 위의 회뢰도와 같이 D/A converter회로를 구성하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • 아주논리회로실험 예비4-멀티플렉서와 디멀티플렉서
    이것은 어떠한 논리 회로가 어떤 신호에서 특정 기능을 수행하는지에 따라 나누는데, active high라 함은 그 기능이 signal이 high가 들어왔을때 수행됨을, active ... 들어가는 A,B는 디먹스의 select 역할을 수행하고, G'로 표시되는 enable pin은 D핀에 대치되어 같은 기능을 수행한다.(3) Active High 와 Active low논리회로에서 ... 만든 회로의 결과값이 같음을 알 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 아주대_논리회로실험_예비7_복호기와 부호기
    Excess-3 Code는 BCD Code에 3의 2진수 값인 0011을 더해준 것과 같은 결과를 출력하였음을 볼 수 있다.(4) 2단 2진 카운터의 출력을 다음 코드로 인코딩할 수 있는 회로
    리포트 | 3페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 결과5-래치와 플립플롭
    실험 결과 Truth table※ 동작 원리R-S latch회로에서는 SR = 00일 때, 회로의 출력은 변하지 않고 Q(t-1)값을 갖으며 SR = 01일 때에는 Reset 기능이 ... 또 이결과를 7476 칩에 대한 측정 결과와 비교하라.< J-K F/F 회로도> < 74HC76 칩 구조 >? ... 위의 J-K F/F는 Master Slave Clocked F/F으로서 J-K F/F에 R-S F/F을 종속시킨 회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.04
  • [보고서+소스코드]아주논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    1. 프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다. 기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II..
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아주논리회로실험 9장 RAM 결과(문답+빵판+고찰)
    우선 회로에서 74HC03 게이트는 오픈 드레인이기 때문에 풀업 저항을 달지 않으면 두 입력이 High일때 결과 L 밖에 사용할 수 없다.
    리포트 | 5페이지 | 2,000원 | 등록일 2011.12.21
  • 아주논리회로실험 결과7-복호기와 부호기
    하지만 회로의 오류가 있어서 처음엔 정확한 출력 값을 얻을 수 없었지만 회로를 차근차근 오류의 원인을 파악함으로써 오류를 찾아 정확한 회로로 실험하니 Excess-3 Code에 정확한 ... 값을 얻을 수 있었다.5) 7 segment 표시기를 갖는 BCD 카운터< 그림 8. 7-segement 표시기를 갖는 BCD 카운터 >※ 토의 사항이번 실험은 교재의 실험 회로
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 예비6-시프트 레지스트와 카운터
    비동기식 Count-Down 카운터 - 비동기식 Count-Down 회로가 Count-Up 카운터 회로와 다른 점은 앞단 플립플롭의 출력이 Q가 뒷단의 출력의 플립플롭의 클럭 펄스로 ... 회로는 출력이 모든 플립플롭의 보수단자 Q'에서 취해진다면 2진 하향 카운터로서의 기능을 발휘할 것이다. ... 그런데 만일, 회로의 초기 상태 값이 Q3Q2Q1Q0=0000 이거나 Q3Q2Q1Q0=1111인 경우에는 클럭이 인가된다 하더라도 상태 값에 변화가 없게 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 1장.basic gates 결과(문답+빵판+고찰)
    논리회로 처음 실험인데도 많은 어려움을 느껴 다음부터는 예비보고서를 쓸 때 철저하게 예습을 하고 실험에 임해야겠다고 느꼈다. ... 이론적으로만 배우던 드모르간법칙 및 Boolean식을 gate소자를 사용하여 직접 확인해 볼 수 있었고 같은 IC회로라도 하더라도 배열 및 연결순서를 바꾸면 완전히 다른 논리적인 연산을 ... support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부과목명: 논리회로실험교수명
    리포트 | 11페이지 | 1,500원 | 등록일 2011.12.21
  • 아주대_논리회로실험_결과9_D/A & A/D Converter
    결과보고서(1) D/A converter1) 그림 4의 회로를 구성한다.< 회로 1. ... (uAl458C OP amp에는 2개의 OP amp가 있으므로 1개의 op amp로 회로를 구성할 수 있다).< 회로 2. ... D/A converter란 말 그대로 디지털 신호를 아날로그 신호로 바꿔주는 회로이다. D/A converter Decade DCD회로는 0~9까지의 숫자를 나타낼 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 7장 시프트레지 결과(무답+빵판+고찰)
    support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부과목명: 논리회로실험교수명 ... 회로가 복잡해짐에 따라서 실수를 한 것 같은데. ... 이러한 원인은 회로가 복잡해짐에 따라 실수를 했던것 같다.B.
    리포트 | 5페이지 | 1,500원 | 등록일 2011.12.21
  • 아주논리회로실험 8장.카운터 예비(기본구성+빵판+예상결과)
    support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부과목명: 논리회로실험교수명 ... 이 론2진 계수 회로는 모든 계수회로의 기본으로서 입력펄스는 LSB부터 차례로 채워지고 원하는 진수에 이르렀을 때는 회로 내의 모든 F/F의 출력이 0으로 환원된다. ... 이러한 전송지연은 사용할 수 있는 최대 clock 주파수를 제한하게 되며 한 상태와 다음 상태에 사이에 일시적인 중간 상태가 존재할 수 있어 논리상의 에러를 유발할 수 있게 된다.이러한
    리포트 | 6페이지 | 1,500원 | 등록일 2011.12.21
  • 아주논리회로실험 예비9-D/A & A/D Converter
    “ON"상태가 되어 전압 값이 인가되며, 해당하는 값이 0일 경우에는 스위치가 "OFF”상태가 되어 전지와 연결되어 전압 값은 0이 된다.3) Null summing point에 대해서 ... Ladder type resistor >< 그림 3. n비트 D/A 변환기의 간단한 회로 >위 회로는 2진수로 가중된 저항형 사다리 회로망을 이용한 n비트 D/A변환기 회로이다. ... R-2R 사다리 회로망을 이용한 D/A 변환기 >이 R-2R 사다리 회로망의 동작 원리는 우선 회로의 오른쪽으로부터 출발하여 왼쪽으로 진행하면서 회로 해석을 행하면, 각각의 사다리
    리포트 | 7페이지 | 1,000원 | 등록일 2010.04.04
  • 아주논리회로실험 9장 예비보고서(기본구성+빵판+예상결과)
    그림 1에는 한 비트의 정보를 저장하는 2진 소자의 논리 회로가 나타나 있는데 이 2진 소자는 메모리 장치를 구성하는 기본 단위가 된다. ... (a) 논리도 (b) 블록도그림 1. 1-bit 정보 저장을 BC(binary cell) 회로여기서 2진 소자는 IC칩에서 사용 가능한 작은 공간에다 가능한 한 많은 수를 집어넣기 ... support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부과목명: 논리회로실험교수명
    리포트 | 7페이지 | 1,500원 | 등록일 2011.12.21
  • 아주논리회로실험 10장 예비보고서(기본구성+빵판+예상결과)
    도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2011년 9월 27일과목명: 논리회로실험교수명 ... 실 험 기 구(1) 저주파 함수 발진기(2) DC 전원 : ±15V(2개)(3) 논리 소자 : 7490, 7405, 7404(4) OP amp : 741(5) 저항 : 500Ω(가변 ... 그림 2의 회로를 사용하는 경우에 전압식은 아래와 같다 (VR은 reference voltage).--- (1)이 회로는 conversion 전압 오차가 없으나 저항 값이 정밀해야
    리포트 | 6페이지 | 1,500원 | 등록일 2011.12.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 08일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대