• 통큰쿠폰이벤트-통합
  • 통합검색(182)
  • 리포트(144)
  • 자기소개서(37)
  • 이력서(1)

"VHDL및 실습" 검색결과 21-40 / 182건

  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    두개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 ... 배경 이론Verilog HDL과 VHDL의 장단점(1) HDL (Hardware Description Language)먼저 Verilog HDL과 VHDL을 포함하는 HDL에 대해서 ... 전자전기컴퓨터공학부 설계 및 실험2Pre La-03Introduction to Verilog HDL실 험 날 짜학 번이 름목차1.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 우선순위 인코더 verilog 설계
    제목인코더 설계실습 목적및 배경인코더는 2^n개의 입력을 받아서 인코딩된n개의 출력을 발생시킨다. ... +d5+d4a1 = d7+d6+d5’d4’d3+d5’d4’d2a0 = d7+d6’d5+d6’d4’d3+d6’d4’d2’d1Verilog 설계1.우선순위 인코더를Verilog 또는 VHDL로 ... 따라서 이번 실습에서는 두 개 이상의 입력이 ‘1’ 일 때 우선순위에 의해 하나의 입력에 대한 인코딩 결과를 출력하는 우선순위 인코더를 설계해본다.실습 내용실습결과진리표 작성과Schematic설계입력출력d7d6d5d4d3d2d1d0a2a1a0V00000000xxx00
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 디지털시스템설계실습 우선순위인코더 결과보고서
    설계된 우선순위 인코더를 컴파일 및 시뮬레이션하고 시뮬레이션 결과를 앞에서 작성한 진리표와 비교하라.연습문제1. ... 표의 진리표를 이용해 우선순위 인코더를 Verillog 또는 VHDL로 설계하라. 이때if~else(Verillog) 또는 if~end if(VHDL) 형식을 사용한다.2. ... 디지털시스템 설계 실습 3주차 결과보고서학과전자공학과학년3학번성명※ 인코더 설계1. 우선순위 인코더는 입력에 우선순위를 주어 우선순위가 높은 입력만 인코딩하는 인코더이다.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • SoC 보고서 - 4.8051
    엔티티쿼터스 툴로 설계한 RAM의 엔티티임.주소, 데이터, 클록, writ/read 신호를 입력 받고, q값을 출력으로 가짐.코드 2-B-2RAM 신호 및 컴포넌트RAM의VHDL 코드임 ... 연결 자체는 ROM 생성 시 저절로 이루어짐.사용자의 설계를 돕기 위해 GENERIC MAP이 작성되어 있음.시뮬레이션 결과 및 설명모델심을 활용한 시뮬레이션은 진행하지 않음.실습보드 ... ROM에는 이미 작성된 hex파일을 넣어서 동작하도록 한다.8051 VHDL코드는 그림1-D-3에서 볼 수 있듯이 다수의 VHDL코드를 연동해서 작성한 것으로 상위 계층과 하위계층으로
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    실험 장비 및 재료가. 실험 장비HBE Combo-II SE3. ... VHDL: 미 전기학회(IEEE) 표준 HDL, 엄격한 문법- 미국 국방성을 중심으로 1987년 표준화되었다. ... .- C와 비슷한 Syntax로, 문장 기술이 VHDL보다 간단하다.- ASIC 개발에 있어서 라이브러리가 충실하다.- 전세계 기업체의 70% 이상이 사용하는 실질적인 업계 표준이다.b
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 1 실험결과보고서
    관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증,
    리포트 | 4페이지 | 2,500원 | 등록일 2023.02.28
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    그리고, 시간자리의 경우에는 12 이후에 01로 바뀔 수 있도록 조건문을 구성한다.3)reference 및 확장방향이번 실습은 그동안 해왔던 코딩을 시뮬레이션을 넘어, FPGA를 통해 ... Source & Results1)VHDL Source2)Testbench Source3)Result wave이번 실습에서는 클럭수가 많기 때문에, 값이 바뀌는 부분들을 확대하여 기록하였습니다 ... VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데, 각 자리 숫자가 나타나는 시간 간격이 매우 짧아(50us) 우리 눈에는 동시에 모든 자리 숫자가 연산
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 순차논리회로설계 결과레포트
    설계하는 과정을 공부한다.· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다. ... 설계하는 과정을 공부한다.· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다. ... [결론 및 토의][Section 01] 간단한 상태도의 구현대부분의 디지털 논리회로는 조합논리회로와 순차논리회로를 함께 설계해야하는 경우가 많다.
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • Full adder VHDL 실습보고서(전가산기)
    1.목적(Purpose)이번실습에서는 4 bit Full adder(4비트 전가산기)와 Subtractor(감산기)를 직접 VHDL코딩을 통해 구현하는 실습이다. ... carryin과 m의 xor 연산은 2’s complement를 만들 때 마지막에 더해주는 1의 역할이 된다.그림3. 4bit adder/subtractor논리회로도6) Reference및 ... Source & Results1) VHDL Source1-1)Full adder그림4. Full adder 코드Full_adder 모듈입니다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 시립대 전전설2 A+ 2주차 예비레포트
    동작을 기술(표현)할 수 있는 언어- 하드웨어 소자, 구조, 동작 등을 텍스트 기반으로 표현 가능- 입출력 논리 간의 관계 및 동작을 기술- 시뮬레이션 및 검증대표적인 HDL- VHDL ... [실습3]과 입출력 Button 및 LED가 모두 같으므로, [실습3]에서 만든 full_adder.xdc 파일을 그대로 이용하기 위하여 Add Files 클릭 후 full_adder.xdc를 ... In-Lab 실험 내용 및 예상결과3.1. 실험 내용[실습 1]: 다음 로직을 Gate Level Modeling 방법으로 설계한다.
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • SoC 보고서 - 1.동기통신(PS2)
    실습소감---------------------------------------- p.22배경 이론통신의 종류 및 특징통신은 방법에 따라 여러가지로 나눌 수 있다. ... 그 원인을 알아보는 과정에서 VHDL 문법적인 부분과 시뮬레이션 과정에 대해 더 많은 공부를 할 수 있었다. ... keyboardps2_receiver실습보드 적용 결과DE2 보드를 이용한 실습은 진행하지 않았다.실습소감ps2 프로토콜을 사용하는 동기 통신을 설계했다.
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다. ... 또한 이후의 실습을 통해 클록 분주기와 디바운싱 코드를 FPGA와 컴퓨터를 연결하여 소스코드를 직접 작동시켜 보았다. ... 분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다. Count라는 variable을 범위를 설정하여 준다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    data를 SRAM에 저장하기 때문에 반복 사용할 수 있는 방식으로 XILINX, PLESSY, ALGOTRONIX 등이 그 예)과 ANTI FUSE 방식(FUSING에 의해 논리 회로 및 ... 속도가 빠르다는 장점이 있으며 ACTEL, QUICKLOGIC, CROSSPOINT 등이 그 예)으로 크게 구분 지을 수 있으며 EPROM 방식(ALTERA가 그 예)도 사용한다.- VHDL ... Result(1) [실습 1] AND GATE 로직 설계LogicPin 설계한 AND Gate의 동작을 확인하는 모습 (위에서부터 차례로 입력 AB의 값이 00, 01, 10, 11
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • multiplexer(멀티플렉서) VHDL 실습보고서
    최종적으로 출력값을 산출하게 된다.그림 3. 8-1multiplexer 모식도그림 4. 2-1multiplexer로 구현한 8-1multiplexer 모식도3)reference 및 ... multiplexers-in-digital-logic/fundamentals of logic design, Charles, Larry L Kinney 7th3.Source & Results1)VHDL ... 1.목적(Purpose)이번 실습은 8-1 Multiplexer를 구현하는 실습으로 8개의 입력값중 1개의 출력값을 선택하여 만들어내는 Multiplexer를 설계하는 실습이다. 8개의
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    VHDL)의 기본 구문과 기능을 학습합니다. ... 예를 들어, Verilog 또는 VHDL과 같은 HDL 언어의 구문과 규칙을 숙지하여 회로를 효과적으로 작성할 수 있어야 합니다.※ 학사 수준에서는 HDL 언어(예: Verilog, ... 고속 회로에서의 타이밍 문제를 해결하고, 타이밍 다이어그램을 작성하고 분석하는 방법을 연구합니다.H D L 코딩에 필요한 기술HDL 코딩 기술HDL 언어(예: Verilog, VHDL
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    Source & Results1)VHDL Source1-1)Lcd_display1-2)lcd_test1-3)data_gen2)TestBench source3)Result wave7+ ... 1.목적(Purpose)이번실습은, FPGA의 버튼들을 이용하여, 값을 입력하고, 덧셈과 뺄셈 연산을 하는 계산기를 만들어 보는 실습이다. ... 추가적으로, 각 state마다, 다음 state값도 지정하여 준다.4)References및 확장방향Calculator 설계를 통해, 연산하는 방법과, 연산 후 나오는 값을 LCD에
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    VHDL CODE 및 핀 할당 ·································· 94. ... VHDL 코드 및 핀 할당library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... 개미의 하루 의 정의 및 설계 ····················· 32. 개미의 하루 의 동작원리 및 기능 ············· 53.
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    [실습 2]부터는 모듈 작성 이후 시뮬레이션 및 combo 동작 테스트는 상기 [실습 1]의 과정과 동일하게 진행한다. ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... 배경이론 및 사전조사HDL 기반 설계 방식은 크게 두 가지가 있다.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    동작을 간단하게 모델링 한 것으로 vhdl 구현 시에 behavioral 방식으로 그대로 작성이 가능하다.Sequence detector는 과거의 입력결과를 기억해서 특정 시퀀스가 ... 실습은 1011을 검출하는 것이었다. 그에 따라 상태도를 그리면 다음과 같다. ... 실습제목: 4비트 비동기 2진 상승 카운터1. 주제 배경 이론카운터는 event의 횟수를 카운트 하는 기능을 가진 순차회로이다. 주로 플립플롭을 이용하여 만든다.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • KB국민카드 IT직 자기소개서
    보안관련 자격증도 취득하고, 네트워크 관련 자격증을 취득하여 IT시스템 개발 및 운영 직무에서 활용할 수 있도록 할 것 입니다. ... 이러한 장점을 살려 S전자서비스에서 현장실습으로 에어컨기술상담원을 한 경험이 있습니다. ... 앞에서 언급한 콜센터 상담원을 하는 동안 고객의 무리한 부탁을 받아 곤란한 적이 있었지만 현장실습을 통해 저의 단점을 극복하는 계기가 되었습니다.
    자기소개서 | 3페이지 | 8,000원 | 등록일 2019.12.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대