• 통큰쿠폰이벤트-통합
  • 통합검색(182)
  • 리포트(144)
  • 자기소개서(37)
  • 이력서(1)

"VHDL및 실습" 검색결과 41-60 / 182건

  • VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    VHDL 실습(D-FF, JK-FF, 8-bit counter) 예비보고서가. ... [그림 8-1] 직렬 인에이블 논리를 가진 동기식 4비트 이진 카운터2) D 플릅플롭을 이용한 동기식 카운터가장 많이 쓰이는 MSI카운터는 적재 및 클리어 입력을 갖는 동기식 4비트 ... 이진 카운터 74x163이며, 그림 8-2는 이 카운터의 내부 논리도이다. ‘163은 적재 및 클리어 기능을 내부적으로 용이하게 하기 위하여 T 플립플롭 대신 D 플립플롭을 사용하고
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • VHDL 설계 실습 보고서 (전감산기 설계)
    VHDL 설계 실습 보고서 VHDL Lab_01일 시학 번이 름제 목전감산기 설계실습 목적전감산기는 한 자리 2진수 뺄셈을 할 때 전가산기에서 더한 결과 캐리가 발생하는 것과는 반대로 ... 전감산기를 설계하는 과정을 통해 조합논리회로를 VHDL로 설계하는 방법을 공부한다.실습 내용실습 결과전감산기의논리식1. ... ;entity vhdl200911758 isport(x, y, bi : ininteger range 0 to 1;D, B: out std_logic);end vhdl200911758
    리포트 | 3페이지 | 1,000원 | 등록일 2020.05.29
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    디지털 논리회로 [ModelSim을 이용한 VHDL 실습 과제]실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형을 구하고 ... srl연산을 각 신호들의 주기마다 실시하도록 했다.Figure SEQ Figure \* ARABIC 3 4bit full adder의 testbench 코드시뮬레이션 결과 분석 및
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 도쿄일렉트론코리아(TEL) 자소서
    (최소 100자, 최대 500자 입력가능)[반도체의 이해 및 실습]반도체공학, IC 프로세스 등의 수업을 통해 반도체의 기초이론과 공정 과정 등을 배웠습니다. ... VHDL과 FPGA를 이용하여 사칙연산 계산기를 제작한 적이 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.07.05
  • (합격자소서)서울교통공사 전자
    그러나 생소한 디스플레이 이론과 Mask 패턴 설계 같은 실습과정은 너무나 어렵게 다가왔습니다. ... 대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 지원직무와 관련된 경력 및 경험활동의 주요내용과 본인의 역할을 구체적으로 기술하시오.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.12.31
  • 한국수력원자력 전자 직렬 첨삭자소서
    본인이 지원한 직무와 관련된 활동은 어떤 내용인지 간략히 기술해 주십시오. (200자 이내)[책 이외의 프로젝트에 참여]전자공학도로서 이론적인 전공을 습득하는 것보다 실습 수강을 하면서 ... 그러니 OO님의 행동 등이 잘 드러나지 않네요.전자회로 프로젝트로 QuartusⅡ 소프트웨어를 이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. ... 이와 유사한 또 다른 경험이 있다면 한 문장으로 간략히 기술해 주십시오. (200자 이내)[미래의 경쟁력 '품질']저는 한국커리어개발원에서 주관하는 품질 및 생산관리 자격취득을 통해
    자기소개서 | 13페이지 | 3,000원 | 등록일 2023.02.03
  • (합격자소서)동서발전 발전 전기업무
    개선점을 파악하여 설비보전 및 개선에 대한 경험과 저만의 노하우를 축적할 수 있었습니다. ... (최근 5년 이내 사례로 기술할 것)[다른 생각, 다른 결과]대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 그 과정은 차세대 디스플레이 교육으로 TFT-LCD, AMOLED, FED 등 생소하게 느껴지는 디스플레이 이론과 Mask 패턴 설계와 같은 실습은 너무나 어렵게 다가왔습니다.그러나
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.12.31
  • 2019년 하반기 원익 로보틱스 합격자소서 - 석사
    세 번째, 학과 실습 조교 활동을 통하여 Z-80 Assembly, Linux 환경에서의 디바이스 드라이버 개발, Verilog, VHDL 사용 경험을 쌓았습니다. ... 첫 번째, 대학원 정보 및 지능 로봇 연구실에서 임베디드 시스템을 세부 전공으로 연구하였고, 핵심 연구로 Theta* 알고리즘과 가중치를 적용한 최적 경로 경로를 탐색을 진행하였습니다
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.04.21
  • (합격자소서)경신 연구개발
    대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... TFT-LCD, PDP, AMOLED, FED 등 여러 가지 디스플레이 이론을 배우고 단계별 프로젝트를 통하여 실습을 하였습니다. ... 이것을 제 노력과 열정으로 채워 경신이 Global Top1이 되는 데에 큰 보탬이 되고 싶습니다.3.귀하가 경신 및 해당직무에 지원한 이유는 무엇입니까?
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.12.31
  • (합격자소서)애경산업 공무분야
    공무분야의 스페셜리스트가 되는 데에 큰 기반이 될 것이라 자신합니다.[3년간의 경험]생산기술 엔지니어로써 3년간 근무하며 데이터를 통한 현상분석, 그리고 현상에 따른 설비 문제점 및 ... 그 과정은 차세대 디스플레이 교육으로 TFT-LCD, AMOLED, FED 등 생소하게 느껴지는 디스플레이 이론과 Mask 패턴 설계와 같은 실습은 너무나 어렵게 다가왔습니다.그러나 ... 이를 바탕으로 좋은 결과를 만들어낸 경험이 있다면 기술해 주십시오. [ 700자 이상 800자 이내 ][다른 생각, 다른 결과]대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.12.31
  • 삼성전자 공정기술 합격 자기소개서 (3)
    반도체 공정 실습]학과의 특성상 실습 또는 설계 과목이 비교적 많았습니다. ... VHDL system을 경험해볼 수 있었으며 좀 더 중요하게 다가오는 사람과 소통을 할 수 있었습니다. 4인 가정에서 홈스테이를 했는데 중국인 학생도 있었습니다. ... 다가올 기술들이 집약되어 현재 차량 원격 시동 및 공조시스템 정도를 제어할 수 있는 수준이 아닌 좀 더 진보된 커넥티드 카를 만날 수 있을 것을 기대합니다.결국, 이러한 것들이 실현되기
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.06
  • 디시설 - 기본적인 디지털 논리회로 설계
    결과 및 토의결론? ... 결과 보고서( 기본적인 디지털 논리회로 설계 )제목기본적인 디지털 논리회로 설계실습 목적본 실습에서는 기본 논리 게이트로 구성된 회로를 Schematic과 VHDL로 각각 설계하여 ... 실습결과 Schematic으로 하거나 VHDL로 하거나 결과는 같았다.? 시뮬레이션 Setting을 Timing으로 할 경우 글리치가 발생 할 수도 있다.?
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 디시설 - 패리티 발생기, 검사기 설계
    실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다.실습 내용실습 결과패리티 발생기 VHDL 코드- 코드 주요 내용generic : generic은 파라미터의 ... 또한 이 방법과 for~loop를 사용하는 방법의 장점 및 단점을 설명하라.정답 :library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all ... 결과 보고서( 패리티 발생기, 검사기 설계 )제목패리티 발생기, 검사기 설계실습 목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • VHDL실습 디지털 시계
    VHDL실습디지털 시계 설계 및 시뮬레이션1.서론‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 하여 이를 ... 그럼 위 과정의 순서대로 디지털시계를 설계하는 것을 적어보려 한다.2.실습내용2x1 MUX프로젝트를 새로 생성할 때, 평소에는 다음과 같은 창에서 그냥 넘어 갔지만 지금은 component문을
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 디시설 - 4비트 가산감산기 , BCD 가산기
    실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습 결과4비트 가산기VHDL코드- 코드 주요 내용 ... 'High‘ 일 때 두 번째 자리를 출력하고, 'High'가 아닐 때 첫 번째 자리를 출력한다.4비트 가산기결과3, 3 입력15, 3 입력15, 7 입력9, 9 입력BCD 가산기VHDL코드 ... 및 동작 부분 해석package 선언 : 1~3행에서 데이터 비트를 4로 정의했기 때문에, a, b의 범위는 0~15의 크기를 갖는다는 것을 나타낸다.entity 선언 : 입력 a
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • VHDL실습 VGA Pattern Generator
    VHDL실습1.서론(1) 빛의 3원색 색의 3원색과 빛의 3원색은 위의 그림과 같다. ... 그리고 영역에 따라 R, G, B를 만들기 위해 설정해준다.다음과 같이 핀을 설정해준다.실습결과는 다음과 같다. ... 컴퓨터와 보드를 연결하고 보드와 모니터를 연결한 후, 파일을 보드에 다운받아 모니터에 출력하여 확인한다.2.실습내용3색 세로줄6번째 줄~19번째 줄? 입출력포트를 정의했다.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 디시설 - ‘1’ 개수 카운터 Leading one 카운터 설계
    실습에서는 loop 문을 다양하게 활용하는 방법에 대해 배울 수 있다.실습 내용실습 결과‘1’ 개수 카운터 VHDL 코드- 코드 주요 내용 및 동작 부분 해석for ~ loop문 ... 결과 보고서( ‘1’ 개수 카운터 / Leading one 카운터 설계 )제목‘1’ 개수 카운터 / Leading one 카운터 설계실습 목적이 실습에서는 입력되는 이진 벡터에서 앞에 ... : loop 문은 같은 코드가 여러 번 반복될 때 유용하다. loop 문도 if 및 case 문과 같이 순차적인 실행이므로 process 안에서만 사용될 수 있다.for~loop
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • VHDL을 이용한 논리 게이트 실습
    VHDL실습ReportQuartusⅡ를 이용한 기본 논리게이트 실습제출일2013년 3월 18일제출기한2013년 3월 18일담당교수최 종 성 교수님학과전 자 공 학 과학번2009144029이름우 ... 실습명 : QuartusⅡ를 이용한 기본논리게이트(And,Or,Nand,Nor,Not,Xor,Xnor)의 설계 및 실습2. ... 실습목표 :(1) QuartusⅡ로 기본논리게이트 설계를 Schematic과 VHDL로 해보고 비교해본다.(2) 기본논리게이트의 반복설계로 QuartusⅡ를 숙달한다.3.
    리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • VHDL을 이용한 digital watch 설계
    시뮬레이션 및 실습① 2x1 MuxⓐVHDLAnd, Or, Not게이트를 하위디자인으로 설계된 2x1Mux의 VHDL이다. ... VHDL실습ReportDigital Watch제출일2013년 4월 15일최종기한2013년 4월 15일담당교수최 종 성 교수님학과전 자 공 학 과학번2009144029이름우 경 ... 실습명 : Digital Watch2. 실습 목표? 계층구조를 사용하여 디지털시계를 VHDL로 구현하고 DE2 보드동작을 확인한다.?
    리포트 | 19페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • VHDL실습 상태머신 설계
    VHDL 레포트1.서론(1) state machine(2) BCD Counter(3) Gray Code Counter(4) Dual Counter(5) Stepping Motor(6 ... ) ADC제어기(7) ADC Model2.실습내용(1) BCD Counter(2) Gray Code Counter(3) Dual Counter(4) Stepping Motor(5) ... dr신호를 받은 제어기는 출력 Enable 신호를 ADC로 보내 ADC가 Data를 마이컴으로 전송하도록 제어한다.(7) ADC ModelADC제어기의 시뮬레이션을 위한 모델이다.2.실습내용BCD
    리포트 | 18페이지 | 2,000원 | 등록일 2019.04.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대