• 통큰쿠폰이벤트-통합
  • 통합검색(182)
  • 리포트(144)
  • 자기소개서(37)
  • 이력서(1)

"VHDL및 실습" 검색결과 141-160 / 182건

  • xilinx를 이용한 플립플롭(Flipflop)과 레지스터(Register)의 설계
    설계 배경 및 목표• D 플립플롭과 레지스터의 정의와 특성을 알고 이해한다. • reset과 enable핀이 있는 D 플립플롭을 VHDL로 어떻게 구현하는지 알아보고 실습하며 시뮬레이션으로 ... 실험시간 전 VHDL관련 서적을 통해 buffer는 입 출력 신호에 사용할 수 있다는 사실을 숙지하여 앞에서 했었던 2개의 경우와는 다르게 출력으로 out이 아닌 buffer를 사용하여 ... 토 의이번 실습은 Full adder와 MUX 설계 시 사용했던 component 구문을 사용해서 4개의 bit를 저장할 수 있는 shift register를 설계하였다.
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • 디코더 인코더 설계
    설계 ( 실험 ) 배경 및 목표 VHDL 을 이용한 디 코더 (Decoder) 설계 - Case 와 With ~ Select 문을 사용 - 시뮬레이션 방법 : Test bench waveform ... 토의 이번 설계는 VHDL 을 이용하여 decoder 와 encoder 을 설계하는 실험이었다 . ... 평소 한 가지 주제를 여러가지 구문으로 설계하는 것이 익숙치 않았기 때문에 서로 다른 구문들의 차이점을 자세하게 알 수 없었지만 이번 실습에서는 그렇한 것들을 확실하게 알 수 있었다
    리포트 | 26페이지 | 2,000원 | 등록일 2010.09.09
  • VHDL-Post lab - Mbit 가산기와비교기 !! (A+리포트 보장)
    대소 및 동등 비교 하는데 있어서 LED 를 내 방식대로 pin 할당 해 주었다. ... 실험 전/후 비교 분석 및 알게 된 점.Post Report------------------------------어진 Spartan B/D 문제로 인해 8bit adder/’comparator는 ... 그리고 실습을 통해 Test bench code를 짜는데 있어서 더욱더 자유자재로 값을 입력해 주며 Simulation 결과값을 얻는 실습을 진행 해 볼 수 있었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • xilinx를 이용한 ROM, RAM설계
    토 의이번 실습으로 RAM과 ROM의 차이점인 읽기와 쓰기 가능 여부를 확인하였다. ... 토 의이번 실습은 데이터를 저장하는 소자인 ROM과 데이터를 읽고 쓰기(Read Write)가 가능한 RAM에 대한 것이었다. ... 관련 기술 및 이론(3) ROM(Read Only Memory)3. 설계 내용 및 방법(1) – ROM3. 설계 내용 및 방법(2) - RAM4.
    리포트 | 17페이지 | 1,500원 | 등록일 2010.06.24
  • [디지털시스템] Project2 보고서 VHDL을 이용한 Digital Clock Mode Generator (소스포함)
    1 Introduction 이번 실습에서는 digital clock chip 에 있어 사용되는 mode generator 를 VHDL(VHSIC Hardware Description ... MODE 에 따라 3 가지 또는 2 가지 내부 MODE 를 선택한다. - SET 은 SW1 으로 선택된 MODE 내에서 다시 SW2 로 세부 MODE 를 선택하면 시간, 분, 월, 일 및 ... 를 VHDL 로 설계해야 한다. 2.2 Describe how you do solve the problem.
    리포트 | 13페이지 | 3,500원 | 등록일 2008.07.07
  • VHDL-Pre lab - Mux and DeMUX
    출력신호는 Active low로 으로 이를 이용해 VHDL code 작성 및 Simulation을 구현해 보도록하자.3. ... Dataflow를 짜면서 알게 된 것인데, 지난 주에 실습했던 decoder의 code와 매우 흡사한 형태임을 알게 되었다- make a input/output pin assignment이번에도 ... 실험 목적MUX와 DEMUX에 대한 이해와 이를 이용한 다양한 VHDL coding에 대해 알아보도록 한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL-Post lab - Mux and DeMUX
    ------또한 이번 실습을 통해 나의 소스 코드와 같은 조원의 코드를 비교해 가면서 어느 것이 효율적인지 확인 해 볼 수 있었으며, VHDL 코드 표현 방법도 여러 가지로 존재 한다는 ... 다른 점은 input output 및 핀 설정에서 다소 다른 점이 있으나 이것은 추후에 따로 설명을 하겠다. ... 내가 짠 코드는 지난 주에 실습한 Decoder의 소스코드와 매우 흡사한 모습을 확인 할 수 있었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL-Pre lab - Counters
    실제 실험에서 Spartan b/d 를 통해 실제 coding이 맞는지 확인해 봐야 한다.ISE를 이용한 VHDL 및 FPGA 실습 , 홍릉과학출판사, 김재철 ... 나머지 동작은 기본적인 Counter의 동작과 같다.4-3> write VHDL codesClk 를 제외하고 코드를 짠 결과이다. ... reset-describe its input output signals -2 inputs and 8 outputs-describe its functional behaviors-write VHDL
    리포트 | 9페이지 | 2,000원 | 등록일 2009.06.29
  • 멀티플랙서 비교기 설계
    토의이번 VHDL실습은 멀티플렉서(MUX : multiplexer)와 4비트 비교기(4-bit comparator) 를 설계해 보는 실습이었다. ... 설계(실험) 배경 및 목표VHDL 을 이용한 멀티플렉서 설계 - 2x1 mux 또는 4x1 mux를 사용하여 8x1 mux를 구성한다. - 시뮬레이션 방법 : Test bench waveform ... 우선 멀티 플렉서는 설계는 바로 전 시간인 디코더 설계와 상당히 비슷한 부분이 많이 있어서 쉽게 실습할 수 있었다.
    리포트 | 25페이지 | 2,000원 | 등록일 2010.09.09
  • 4비트 전가산기 감산기 설계
    토의 이번 설계 ( 실험 ) 은 지난 설계의 연장선으로 VHDL 을 이용하여 4 bit 전가산기와 2 의 보수를 이용한 감산기를 설계하는 실습이었다 . ... 설계 ( 실험 ) 배경 및 목표 VHDL 을 이용한 4bit 전가산기 설계 - 설계방법 : Behavioral Modeling - 시뮬레이션 방법 : Test bench waveform ... 관련 기술 및 이론2 장 .
    리포트 | 22페이지 | 2,000원 | 등록일 2010.09.09
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 사용법 Post lab)
    또한 사전강의에서 배운 과정 하나하나를 빼놓지 않도록 실험하는 동안 주의를 기울여 실험을 하여야 하겠다.# 참고문헌- ISE를 이용한 VHDL 및 FPGA 실습, Xilinx ISE ... 우리는 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... 토론 및 분석 (Discussions and Analysis) HYPERLINK \l "reference" # 참고문헌1.
    리포트 | 8페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL을 이용한 7-Segement Top 코드 및 시뮬레이션
    VHDL실습 4주차 레포트7-segement 최종 Top담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 ... ▶진리표 대수식 및 회로도? ... 1xxxx0110011000000 01xxx1111001000000 001xx1101101000000 0001x0110000000000 000011111110000000 00000Dont' care▶소스코드 및
    리포트 | 8페이지 | 2,000원 | 등록일 2010.12.27
  • FPGA를 이용한 신호등구현
    VHDL(VHSIC Hardware Description Language)언어를 통한 Cording 실습 그리고 Test board를 통한 구현을 통하여 FPGA를 이용하여 반도체 ... 특정기술 및 공정에 무관VHDL은 특정 ASIC(Application Specific IC)업체의 특정기술이나 공정에 무관하게 사용할 수 있다.? ... 그리고 VHDL은 대규모 설계를 위한 설계의 공유, 검정, 관리를 지원하기 위해 패키지(Package), 구성요소(Configuration declaration) 및 하나의 entity에
    리포트 | 52페이지 | 1,500원 | 등록일 2007.08.08
  • SKT 합격 자소서, SK텔레콤 자소서, SKT 서류합격
    또한 프로그래밍 기초와 실습, 전자전기프로그래밍 등과 같은 과목을 수강하며 과학고 때 배웠던 C++, VHDL을 이용한 코딩수준을 한 단계 높일 수 있었습니다. ... 및?그것을?도전/극복한?방법?-?최종?결과?및?성공/실패?원인,?그?과정에서?배운?점*?될?수?있으면?최근?5년?이내에?했던?중?장기?경험으로? ... 및?논문?제목?기재)*?전공의?개수가?복수인?경우?모두?기재 (500 자 5 단락 이내)ㄴ4-2.?이수한?전공을?통해?얻은?지식과?역량에?관해?
    자기소개서 | 6페이지 | 3,000원 | 등록일 2015.08.28
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    사용하여 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... ISE 9.2i program, Spartan-3 Board, JTAG cables이론 및 프리랩VHDL 소개1. ... " 이론 및 프리랩실험 목적이번 실험에서는 Xilinx ISE 9.2i프로그램 설치 및 프로그램 조작법에 대한 능력을 기르는 것에 초점을 맞추고, Xilinx ISE 9.2i프로그램을
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • 2011년도 건국대 입학사정관제 편입학 1차합격 자소서
    중, 고등학교 시절부터 사소한 일상까지 계획을 세우는 메모광인 꼼꼼한 성격 덕에 설계기초과목인 디지털 논리회로, VHDL과목에 자신감이 생겼고 SoC 및 ASIC 설계분야의 끝없는 ... 외 꾸준히 학습하고 실습할 계획이며 3학년 동안 응용논리회로설계와 어셈블리언어 수강으로 기초를 더욱 탄탄히 다진 후 ASIC 설계, 반도체공학 등의 구체적인 전공과목 수강으로 설계분야에 ... 특히 VHDL과목에서 'DE2보드를 연계한 VGA control logic 설계' 프로젝트 중 디자인플로우 과정을 몸소 느껴가며 불철주야 노력해 모니터에 결과가 나왔을 때 조원들과
    자기소개서 | 1페이지 | 3,000원 | 등록일 2011.02.10
  • 멀티플렉서(MUX)와 비교기(Comparator)설계
    설계 배경 및 목표이번 7주차 실습은 멀티플렉서(Multiplexer)와 비교기(Comparator)를 설계하는 것이다. ... 먼저 8X1 MUX는 2X1 MUX VHDL파일을 만들어서 component, port map구문을 사용하여 8X1 MUX에 불러와서 설계하였다. component가 구조적으로 어떻게 ... MUX와 비교기의 정의와 작동 방식을 알고 실습에 임한다. 먼저 2X1 MUX나 4X1 MUX를 여러 개 이용하여 8X1 MUX를 구성한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • [임베디드]VHDL 기본 실습-표현방식, 객체, 연산자
    실습을 위한 준비① Altera사의 VHDL 개발 SW : MAX-Plus II의 다운로드 및 설치② 교재 : 디지털 시스템 설계를 위한 VHDL 기본과 활용1.4 실 습 내 용실습 ... 실습 1 : VHDL 기본 실습 I(표현방식, 객체, 연산자)■ 실습결과보고서실습일자 : 2006 년 3 월 14 일 화 요일실습제목 : VHDL 기본 실습 I(표현방식, 객체, 연산자 ... )작성자 : 조 학번 : 2000154002 이름 : 강동우1.1 실습 목적① VHDL의 기초 문법들을 배우고, VHDL을 이용한 디지털 논리회로의 기본적인 설계방식을 배운다.② VHDL
    리포트 | 14페이지 | 1,000원 | 등록일 2006.03.30
  • [임베디드]VHDL 기본 실습-순차처리문, 병행처리문, 구조적 표현
    SW : MAX-Plus II의 다운로드 및 설치③ 교재 : 디지털 시스템 설계를 위한 VHDL 기본과 활용2.4 VHDL 실습실습 2.4.1 : or, nand, xor 게이트의 ... 실습 2 : VHDL 기본 실습 II(순차처리문, 병행처리문, 구조적 표현)■ 실습결과보고서실습일자 : 2006 년 3 월 15 일 수 요일실습제목 : VHDL 기본실습 II(순차처리문 ... 대한 이해③ VHDL의 표현방식에 대한 이해④ VHDL의 객체와 연산자에 대한 이해2.3 실습을 위한 준비① VHDL 개발과 시뮬레이션을 수행할 PC② Altera사의 VHDL 개발
    리포트 | 13페이지 | 1,000원 | 등록일 2006.03.30
  • RS와D플립플롭실험(예비)
    -참고문헌 : , 정보통신실습교재? ... CLK앞에 NOT 게이트를 부착하면 하강 에지에서 값을 출력으로 내보내는 D플립플롭 설계도 가능하다.- 참고문헌 : VHDL을 이용한 디지털 논리회로 설계(William Kleitz ... 평가방법(공통)- 예비 및 결과보고서: 50%- 기말고사: 30%- 출석 및 태도: 20%※ 중간고사는 시행하지 않음.※ 태도 점수는 20점 만점으로 평가하며, 이 점수에서 출석 점수를
    리포트 | 11페이지 | 2,000원 | 등록일 2012.10.11 | 수정일 2013.11.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대