• 통큰쿠폰이벤트-통합
  • 통합검색(182)
  • 리포트(144)
  • 자기소개서(37)
  • 이력서(1)

"VHDL및 실습" 검색결과 101-120 / 182건

  • ASM-자기소개서-국문
    기본적인 회로설계, C++/C 프로그래밍뿐만 아니라 VHDL Verilog, SOC, 영상처리, 그리고 임베디드 소프트웨어 설계 및 실습과 같은 과목을 통해 전공분야에서 Generalist로써 ... 그리고 교환학생을 갖다 오고 난 후, 미처 수강하지 못했던 반도체 솔라셀 공정 및 제조실습 과목을 수강하며, 반도체 공정에 대한 이론뿐만 아니라, 국내에 몇 없는 클린룸이 완비된 실습시설에서 ... 그래서 비록 선택과목이지만 반도체와 관련된 과목에 집중하였을 뿐만 아니라 인턴실습도 반도체 분야에 집중하였습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2016.04.04 | 수정일 2018.05.16
  • VHDL을 이용한 자동판매기의제어
    자동판매기의 제어 및 설계2. 하드웨어 구조3. VHDL CODE 분석 및 결과4. 하드웨어 실제 실습5. 결론 및 느낀점1. ... 자동판매기의 제어 및 설계1) 설계의 목표◇ 일상에서 쉽게 전할 수 있는 자동판매기를 제어하는 VHDL 구문을 구현◇ 동전 입력, 아이템 선택, 동전반환, 잔액표시, 선택 가능한 아이템 ... VHDL CODE 분석 및 결과(1) 한자리의 10진수를 7-Segment 출력으로 디코딩하기 위한 구문library ieee;use ieee.std_logic_1164.all;entity
    리포트 | 16페이지 | 1,500원 | 등록일 2011.12.30
  • SK그룹 SK 하이닉스 설계직무 2017년 상반기 공채 최종합격 자소서(자기소개서)
    특히, VHDL을 이용하여 7 segment 동작을 위한 다양한 실습을 진행 했던 논리회로설계 과목의 프로젝트는 가장 흥미 있고 열정을 다 할 수 있는 프로젝트였습니다. ... 교환학생으로 다녀온 에인트호벤의 Fontys university는 아두이노를 이용한 실습 위주의 교육이 주를 이루는 학교였습니다. ... be team with you”라는 말을 들어서 느낀 뿌듯한 감정은 아직도 잊을 수 없습니다.한국에 돌아온 지금도 전자전기프로그래밍 과목과 디지털 시스템 과목을 수강하면서 C와 VHDL
    자기소개서 | 5페이지 | 3,000원 | 등록일 2017.06.09
  • 2017 상반기 신한생명보험 IT직 자기소개서
    컴퓨터정보통신공학 전공으로 하드웨어부터 소프트웨어까지 여러 분야의 수업을 듣고 c언어부터 c++, Python, VHDL, Myssql, Java, Linux 등 다양한 언어로 프로그래밍을 ... 주십시오. 800자제가 신한생명 보험의 IT직에 지원하게 된 계기는 삼성전자서비스에서 상담원으로 2달간 실습하면서 많은 것을 느꼈습니다. ... 2017 상반기 신한생명 보험 IT직 자소서성장·수학과정, 사회경험 및 성격(강점) 등 자유롭게 자신을 소개하여 주십시오. 1200자저는 목표를 향해 포기하지 않고 성실하게 끝까지
    자기소개서 | 3페이지 | 4,500원 | 등록일 2017.02.26
  • VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... 일상적으로 사용하는 것이므로 검증에 이용할 7-segment에 대해 알아보겠다. 7-segment Design 1) what your circuit does 디지털 시계 ● 시, 분 및 ... 이번 실습 시간을 통해 디지털 시계의 원리를 이해하였으며, 시계 작성에도 컴퓨터 코딩이 필요한 것을 알게 되었다. 마지막 실습 까지 도와주신 조교님들 수고하셨습니다.
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • [9주차] DIGITAL CLOCK
    있어 VHDL 설계 교육 및 마이크로 프로세서 응용 교육을 보다 쉽고 효과적으로 실습할 수 있는 교육용 장비이다.- 제품 사양그림 2 . ... Rov-Lab 3000LoV-Lab 3000은 회로 구현 및 실제 동작 테스트에 이르는 전과정에 필요한 Kit와 각 모듈을 분리 및 재조립하여 역동적인 실습이 가능한 로봇으로 구성되어 ... VHDL Source------------------------------------------------------------------------------------------
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • 2018 한국수자원공사 전자통신, 자기소개서, 자소서, 공기업
    컴퓨터정보통신공학 전공으로 하드웨어부터 소프트웨어까지 여러 분야의 수업을 듣고 c언어부터 c++, Python, VHDL, Myssql, Java, Linux 등 다양한 언어로 프로그래밍을 ... 중요하게 여기는 사람임을 입증할 수 있는 사례를 구체적으로 서술해주십시오.(500자)4학년 여름방학에 삼성전자서비스에서 2달간 에어컨기술상담원으로 현장실습을 하게 되었습니다. ... 어렵게만 느껴졌던 한국사를 높은 점수로 1급을 취득하였고, 관심과 열정이 있다면 뭐든지 해낼 수 있다는 것을 느꼈습니다.- 자신이 규칙 및 규정준수, 준법성 등과 같은 공동체 윤리를
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.10.24 | 수정일 2018.05.18
  • 디지털 설계 및 실습 기말 텀 프로젝트
    프로젝트 목적3학년 1학기 디지털설계 및 실습 과목의 기말고사 텀 프로젝트로써 한 학기동안 배운 VHDL을 응용하여 조에서 설계하고자 하는 프로젝트의 목적과 맞게 프로젝트를 만들어본다 ... Tic-Tac-Toe 게임과목디지털설계 및 실습담당교수최영식 교수님학과전자공학과분반103분반조7조조원200911572 김정환200911693 이성호1.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.09.12
  • [VHDL] 동기식 10진 카운터
    실습 보고서실험조: 8조조원 : 최문희, 최윤정0. 제 목동기식 10진 카운터1. ... 설비 및 준비사항MAX+PLUS II 사용법HBE?COMBO 트레이닝 키트의 사용법4. ... 목 적VHDL을 이용하여 동기식 10진 카운터 회로를 구현함으로써 순차회로에 대한 VHDL 설계 방법을 익히고 카운터 회로의 동작을 이해한다.2. 기초지식(회로도)3.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.23
  • [6주차] Memory
    Purpose이번 실습시간에는 읽기와 쓰기가 가능한 RAM(Random Access Memory)을 설계해본다. ... 편리성 및 사용도가 여타의 어느 메모리에 비해서 월등히 높으나 가격이 비싸다. ... 과 목 : 논리회로설계실험과 제 명 : RAM 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - 4차 실습 과제7조 ( 유광위
    리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • 4개의 입력과 1개의 출력을 가지는 회로를 여러 가지 방식으로 표현하고 이를 VHDL로 표현
    설계 배경 및 목표AND GATE는 곱셈을 수행하는 GATE이다. 모든 입력이 1일 때는 1이 출력되지만, 그 외의 경우에는 0이 출력된다. ... 토의이번 과제4를 함으로써 평소에 실습시간에 실습한 것 외에도 직접 문제를 제시하고 문제 제시에 의거한 입력 4개와 출력 1개를 설계하여 다양한 방식(And, Or, Not Gate ... 토의Decoder로 코딩하는 것은 입력값을 STD_LOGIC_VECTOR가 아닌 다른 문자들을 넣어주어야 했기 때문에 2주 전에 실습했던 것과 약간 달랐다.
    리포트 | 34페이지 | 7,000원 | 등록일 2010.06.24
  • C 기반 ALU 설계. 컴퓨터구조 ALU 설계 과제
    최소의 지연시간 Modelsim 으로 확인하려 했지만 , 에로사항 ( 소스 에러 ) 으로 실패참고문헌 ( Verilog_HDL 을 이용한 ) FPGA 설계 이론 및 실습 : Xilinx ... Master3 / 송태훈 / 홍릉과학 ( Altera Quartus 2 와 Modelsim 을 사용한 ) Verilog HDL 논리회로 설계 / 이승호 / 한티미디어 컴퓨터구조 설계 : VHDL ... 설계 결과물의 동작 완결성 및 안정성 시뮬레이션 결과 동작표대로 작동 확인 및 오버플로우도 (29,31) 확인 D.
    리포트 | 33페이지 | 3,500원 | 등록일 2013.01.28
  • [5주차] flip flop
    Multiflex(Mux) 설계이 름 :실 습 조 : 7조실습날짜 :1. ... Latch▶ 시간적으로 변화하는 레지스터 및 카운터,데이터 신호 버스상의 디지털 정보를 원하는 시각에 판독하여 등록하는 동작,또는 그 회로.▶ Asynchronous(비동기) 상태이며 ... Purpose▶ Latch와 Flip-Flop의 차이점을 알고, VHDL을 이용해 간단한 Flip-Flop을 설계할 줄 안다.▶ D Flip-Flop의 간단한 작동원리와 구성요소에
    리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 현대제철 인턴 최종합격 자기소개서
    저는 전공과목을 통해 C언어, VHDL 등 프로그래밍 언어를 공부하였고, 이를 바탕으로 제철소의 공정을 최소한의 인력으로 가동될 수 있도록 노력하겠습니다. ... 효율적 기계회로 설계와 제어, 경제적 전력 시스템이 그 예인데, 저는 회로이론, 전자회로, 제어공학, 전력시스템공학 등의 과목들을 집중 수강하므로써 기본이론을 다졌고, 매학기 설계실습을 ... 진심어린 말이 담긴 한 마디로 동료 및 선배님들과 소통하며 협력하여 나가겠습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2016.01.21
  • VHDL기초강의
    병행 처리문 VHDL의 구조적(structural) 표현 방식 Component 문 Generate 문*목차조합 회로(combinational circuit) 설계 및 실습 Adder ... Multiplexer Decoder 순차 회로(sequential circuit) 설계 및 실습 F/F, Latch Shift register Counter Stop watch Max ... Plus Ⅱ를 이용한 VHDL 프로그래밍 Digital training kit을 이용한 실습*VHDL이란?
    리포트 | 106페이지 | 1,000원 | 등록일 2010.05.11
  • 삼성전자 메모리 사업부 합격 자기소개서(자소서)
    특히 논리회로설계 실습에서, VHDL을 이용한 7segment 실습은 제 관심을 흥미로 바꿔 놓았습니다. ... 본인의 취미/특기, 존경인물 및 존경하는 이유를 자유롭게 작성하여 주시기 바랍니다.취미/특기 : 기타연주/축구존경인물 : 베컴존경이유 : 세계 최고의 선수 이면에 숨겨진 노력과 헌신을
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.06.09
  • 2-port OR gate
    및 FPGA 실습, 이준성 외 3인 공저, 2008년, 북스힐)3. ... 설계 배경 및 목표이론적으로 배운 기본적인 VHDL의 개요를 알고 VHDL 프로그램 (Xilinx ISE, ModelSim XE)의 사용법을 습득한다. 2 Port AND Gate를 ... 구성하고 시뮬레이션을 실습해 본 결과를 바탕으로 2 Port OR Gate를 Data Flow Modeling을 통해 구현하고 그에 따른 시뮬레이션 결과를 3가지 방식(일반 Simulation
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.24
  • 최신 2017 SK Hynix 합격 자소서 (SK 하이닉스)
    그러나 학기중에 한정된 실습에 아쉬웠습니다. ... 이를 위해 FPGA로 개발된 Verilog(VHDL)의 소프트웨어를 통해 하드웨어system의 구현을 하였고, 특히 FPGA로 10개 정도의 프로젝트를 수행하였습니다. ... 개선 ㅇDRAM 개발제품의 공정 최적화 및 양산성 확보 ㅇNAND Flash 메모리의 제품 및 소자 신뢰성 제고 ㅇNAND Flash 메모리에 필요한 핵심 기술 및 단위 공정 기술에
    자기소개서 | 4페이지 | 3,000원 | 등록일 2017.09.09
  • xilinx를 이용한 FSM설계
    설계 배경 및 목표• State Machine과 Mealy, Moore Machine의 구조에 대해 이해한다. • 3-state Mealy 상태도의 VHDL Modeling Example을 ... 과제 7이 이번 실습과 밀접하게 연관되므로 이 실습을 토대로 코드를 짠다면 좀 더 응용된 결과물을 출력할 수 있을 것이다.{nameOfApplication=Show} ... 기존에 3-state VHDL 코드가 나와있어서 4-state를 나타내는 데는 크게 무리가 없었다.5.
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • FPGA HBE-COMBO, VHDL를 이용한 CARGAME 최종보고서
    블록도(게임진행과정 및 순서)▶ 블록도로 간단히 설명하자면.Kit에 업로드를 실시합니다. ... VHDL 소스로 설계를 시작하였습니다.부품 하나하나 설명을 하겠습니다.▣ LCD? ... 제작과정▶ kit로 실습하는 설계이기 때문에 학교 실습실을 빌려 제작을 하였으며 별도의 하드웨어 작업 없이 HBE-COMBO kit를 이용하여 Quartus2 7.1 프로그램을 이용하여
    리포트 | 111페이지 | 1,000원 | 등록일 2010.06.16 | 수정일 2017.07.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대