• 통큰쿠폰이벤트-통합
  • 통합검색(182)
  • 리포트(144)
  • 자기소개서(37)
  • 이력서(1)

"VHDL및 실습" 검색결과 121-140 / 182건

  • 2 port nand xor gate 설계
    저번 실습보다는 좀 더 나았지만, 아직도 프로그램을 이용하여 VHDL을 설계하는 것이 익숙치 않았기 때문에 저번 주 강의안을 참고하면서 실험을 진행하였다. 2주차 실습의 연장선이었던 ... 토의이번 설계(실험)은 VHDL을 이용하여 2 Port NAND GATE, XOR GATE, 3 Port AND GATE를 설계하는 실습이었다. ... 설계(실험) 배경 및 목표VHDL 을 이용하여 NAND Gate , XOR Gate , 3입력 AND Gate 를 설계 한다. - 설계방법 : Data flow or Behavioral
    리포트 | 24페이지 | 1,500원 | 등록일 2010.09.09
  • 반가산기(Half Adder)와 전가산기(Full Adder)의 설계
    실습으로는 반가산기를 토대로 전가산기(Full Adder)를 VHDL로 구현한다. 전가산기란 1비트의 2진수를 3개 더하는 논리회로이다. ... )에 대한 이론을 배우고 예제로 반가산기(Half Adder)를 VHDL로 구현해 본다. ... VHDL로 구현 후 Test Bench로 Simulation시켜 결과가 바르게 나오는지 확인한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • VHDL을 이용한 산술연산회로설계
    VHDL을 이용한 산술연산회로설계 B반 5조 2009312075 차승현 2013. 04. 16 Introduction 6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu로 ... ALU와 Boost 두 가지를 설계하는 실습이었다. ... Booth ■ 주어진 entity 및 코드를 사용하여 booth multiplier를 설계한다. ■ Reset(rst) 신호를 통해 각 시그널들을 초기화한다.
    리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    이런 기본적인 이론 지식을 바탕으로 이번 실습을 진행해 나가보자.이번 실습을 진행하기 위해서는 BCD code와 Excess-3 code에 대해 정확히 알고 있어야 한다.3. ... ) bit 파일 생성 및 에뮬레이션6. ... unit에서 선언한 것 중에서 function과 procedure의 내부적 동작을 기술* Function 및 Procedure▶Function 및 Procedure은 각각 Declaration과
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 2017상반기 한전 KDN 전산직 자기소개서
    특히 공기업은 사기업보다 신뢰가 더 중요하다고 생각합니다.올해 여름방학에 계절학기로 현장실습을 한 경험이 있습니다. ... [직무경력 및 경험기술서]1. ... [조직이해능력 및 기여도]2.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2017.02.08 | 수정일 2017.10.03
  • 논리회로설계실험 프로젝트 8Bit 계산기
    입력에 따른 올바른 출력을 나타내기 위하여 우리는 지난 실습 때 설계하였던 디다. ... 관련 기술 및 이론(1) BCDBCD(Binary-coded decimal) 코드는 우리말로 ‘이진화 십진법’ 이라 한다. ... 우리는 이 목표를 수행하기 위하여 우선 BCD 계산기와 7 segment를 VHDL을 이용하여 설계하고, 각 회로를 schematic 방법을 이용하여 하나의 회로로 만들기로 하였다.이를
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • 2017 LG이노텍 광학솔루션 HW/SW 자기소개서, 면접대비, 면접후기
    이유를 구체적으로 기술해주십시오 500자LG이노텍은 「출근이 기다려지는 회사」 이지만 저는 「출근시키고 싶은 사람」입니다.제가 LG이노텍에 지원한 이유는 모바일과 차량의 핵심 소재 및 ... 사고 / 새로운 시도, 집요한 실행 / 정직과 신의) 중 하나를 선택하여 이를 실천한 본인의 성공 또는 실패 사례를 기술해주십시오. 500자삼성전자서비스에서 에어컨기술상담원으로 현장실습을 ... FPGA 설계하는 부분에서 VHDL코딩은 수업시간에 배운 적이 있어 어렵지 않았습니다.어려웠던 부분은 openCV를 이용하여 이미지 처리하는 부분이 가장 어려웠습니다. thresholding
    자기소개서 | 12페이지 | 15,000원 | 등록일 2017.05.13 | 수정일 2018.06.19
  • 반가산기 전가산기 설계
    토의이번 설계(실험)은 VHDL을 이용하여 반가산기(Half Adder)와 전가산기(Full Adder)를 설계하는 실습이었다. ... 설계(실험) 배경 및 목표VHDL 을 이용하여 HALF ADDER (반가산기) 를 설계한다. - 설계방법 : Behavioral Modeling - 시뮬레이션 방법 : Test bench를 ... 지금까지 해왔던 실습들을 잘 따라왔다면 누구나 쉽게 할 수 있는 실습이었다.
    리포트 | 17페이지 | 2,000원 | 등록일 2010.09.09
  • 신의손) 합격 한글 이력서
    교육 내용- 프로젝트 및 세미나 참여 (개인 공부내용 발표)- 전자회로 과목 복습- VHDL 설계 실습 및 과제 수행▶ 성과- 직접회로 관련 지식 및 업무 숙지- VHDL Coding ... VHDL 설계 실습? 집접회로 공부2013.07 ~ 2013.08 한국 기계연구원 나노역학 연구실(1달) ? 나노 임프린트 리소그래피 공정 실험 실습? ... 능력 향상경력 사항-22013.07 ~ 2013.08 (1달) 한국기계연구원 나노역학 연구실 현장 실습▶ 기관 소개 : 한국기계연구원 나노역학연구실▶ 주요 업무 및 교육 내용- 나노
    이력서 | 17페이지 | 무료 | 등록일 2014.08.20 | 수정일 2016.01.10
  • 응용논리회로 텀프로젝트 제안서
    응용논리설계 및 실습Final Term Project(디지털 시계 설계)1. ... 또한 지금까지 응용논리회로설계 수업 시간에 배운 다양한 디지털 회로 및 소자의 VHDL표현을 가장 잘 활용 할 수 있는 것 중 하나가 디지털 시계제작이라고 판단되어 이번 프로젝트를 ... 바탕으로 VHDL에 대해서 좀더 익숙해 지는 계기를 마련하기 위하여 선정하였습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • [VHDL] 7_Segment를 이용한 타이머 및 다양한 동작 (설계)
    VHDL을 통해 7_Segment를 이용한 타이머 및 다양한 동작을 구현해본다.2. ... 한 학기 동안 배운 VHDL을 충분히 복습하여본다.? 실습기간동안 배운 것 외의 기능을 사용하여본다.? ... 과제 개요이번 설계과제를 통해 구현 해본 것은 타이머입니다.실습시간에 배운 Switch, LED, 7_Segment 등을 이용하여 구현하였습니다. 0 ~ 9까지의키패드로 숫자를 입력받고
    리포트 | 25페이지 | 1,500원 | 등록일 2010.12.14
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    Device Properties 및 시뮬레이션 관련 사항 설정 창이 뜨게 된다.이때 자신이 원하는 실습 환경에 맞게 지정해준다. ... VHDL coding 후 SAVE 및 Syntax checkC. Assign Package Pins 에서 pin 할당D. ... Xilinx ISE에서 사용하는 VHDL 언어C. Xilinx ISE 9.2i 설치하는 방법D. Xilinx ISE 9.2i 시작 및 주요기능 사용법 설명ㄱ.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • HBE-COMBOⅡ 를 이용한 주유기기 구현
    업무 분장-설계교과목 과제 최종보고서교과목 명VHDL 설계 및 실습책 임 자(팀장)성 명소 속학 번학 년연 락 처과제 명HBE-COMBOⅡ 를 이용한 주유기기 구현개발기간참여학생학번이름전공1 ... 과제 진척 상세 내용① 목표- VHDL 언어 와 HBE-COMBOⅡ KIT를 이용하여, 주유기기를 구현함으로서 VHDL 언어 와 KIT의 기본원리를 파악, 그 능력을 향상 시키고자 ... 과제 범위- Altera Quartus Ⅱ를 사용한 VHDL 디지털 논리회로 설계4.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.11.28
  • 2-port Nand,Xor, 3-port AND gate의 설계
    설계 배경 및 목표지난 주에 실습하였던 2 port AND Gate와 OR Gate를 바탕으로 첫 번째 설계로는 2 port NAND Gate와 XOR(Exclusive OR) Gate를 ... 관련 기술 및 이론(4) 객체(Object) VHDL에서 신호, 변수, 상수와 같이 어떤 값을 가지고 있는 것을 객체라고 한다. ... VHDL 설계에서 외적 변수를 나타내는 것으로 신호가 흐르는 선(Wire)으로 표현되는 것이다.
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • [토끼] BCD to EX-3 code converter (combinational circuit)
    1.TitleBCD to EX-3 code converter (combinational circuit)2.Name3.Abstract본 실습에서는 BCD 를 Excess-3 code로 ... 변환하는 조합회로를 설계하는 실습으로, schematic diagram 을 그려서 또 하드웨어를 HDL로 기술하여 simulation 으로 검증하고 FPGA 에 구현한다. ... 사용한 회로도 >< NAND gate 와 Inveter 의 수를 줄인 최종 구현 회로도 >최종적으로 최적화 시킨 회로이다.불필요한 인버터를 모두 줄이고 필요한 게이트만 위치시켰다.< VHDL
    리포트 | 7페이지 | 5,000원 | 등록일 2011.04.10 | 수정일 2020.07.16
  • PostLab> Usage of xilinxISE on Spartan-3 설계실험 자일링스 및 스파르탄 사용법
    이미 Decoder의 VHDL 코딩과 Test bench code가 제시 되어서 실습은 무난하게 진행 되었다. Input 값의 변화에 따라 Output 값을 준다. ... 검증 및 Simulation이 끝난 Project Programming File을 가지고 Spartan Board에 연결 후에 Configure Device (iMPACT)를 실행 ... 집에서도 실습해보고 싶어서 하나 구입하려고 했지만, 가격이 만만치가 않다.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.01.02
  • [11주차] LCD
    과 목 : 논리회로설계실험과 제 명 : Digital Clock 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - 7차 실습 ... VHDL Source------------------------------------------------------------------------------------------ ... Display Data RAM(DDRAM)과 Character Generator RAM(CGRAM)에 대한 Address 정보를 저장하는 쓰기만 가능한 Register이다.▣ Clear 및
    리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • xilinx를 이용한 FPGA실습
    토 의이번 실습VHDL을 이용하여 FPGA를 설계하고 그 결과를 RoV-Lab 3000을 이용하여 확인하는 것이었다. ... 설계 배경 및 목표• RoV-Lab 3000을 이용하여 FPGA를 실습한다. • RoV-Lab 3000의 사용법을 숙지하고 구조와 각 부분별 역할을 확인한다. • 3가지 예제 LED1 ... 14주차 과제FPGA 실습1.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.06.24
  • 2018 한국인터넷진흥원, KISA 기술분야 자기소개서,자소서 대졸
    .자율적으로 솔선해서 행동으로 옮겼을 때의 일과 그에 따른 결과에 대해 기술해주십시오. (1000byt이내)작년 여름방학에 삼성전자서비스에서 고객응대를 하는 콜센터 상담원으로 현장실습을 ... 개인적으로(또는 단체생활 중) 극복해야할 난관이 있었다면 무엇이었고, 어떻게 극복하였는지 기술해 주십시오. (1000byt이내)대학교 3학년 전공수업이었던 ‘컴퓨터종합설계’ 과목은 VHDL ... 무조건 기술을 개발하는 것이 아닌 보안 및 보호의 기술이 동시에 발전해야 합니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2017.03.12 | 수정일 2018.05.18
  • [12주차] Calulator
    중요한 점은 이미 ROM에 각 문자 및 숫자에 대한 것들이 지정이 되어 있으므로 필요한 문자 및 숫자는 표를 통해서 16진수로 설정을 해주면 출력이 가능합니다. ... VHDL Source------------------------------------------------------------------------------------------ ... LCDRovo-lab 3000을 이용하여 LCD를 구현하는 것은 바로 전주에 실습을 해보았습니다.
    리포트 | 20페이지 | 2,000원 | 등록일 2012.06.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대