• 통큰쿠폰이벤트-통합
  • 통합검색(182)
  • 리포트(144)
  • 자기소개서(37)
  • 이력서(1)

"VHDL및 실습" 검색결과 61-80 / 182건

  • VHDL실습 메모리(ROM,RAM)설계
    VHDL 레포트1.서론 - (1) signal vs variable(2) ROM vs RAM2.실습내용 - (1) signal(2) variable(3) ROM(4) RAM1.서론( ... DATA_OUT : read datasignal2.실습내용signal을 이용한 시프트레지스터 설계는 다음과 같다.6번째 줄~12번째 줄?
    리포트 | 10페이지 | 2,000원 | 등록일 2019.04.20
  • VHDL 카운터 설계 및 시뮬레이션
    실습내용 및 결과(1) 16진 카운터-VHDL 코드Reset이 걸리지 않는 한 0에서 15까지 증가하는 15진 카운터의 VHDL 코드를 작성한다.nRst 의 값이 0일 때 Cnt의 ... 실습내용 및 결과(1) 16진 카운터(2) 10진 카운터(3) 5-6-7반복 13진 카운터(4) 2-13반복 12진 카운터Ⅳ. 실습소감Ⅰ. ... 카운터 설계 밑 시뮬레이션VHDL 3차 REPORT목차Ⅰ. 실습목적Ⅱ.
    리포트 | 18페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2018.08.27
  • VHDL 디지털 시계 digital watch
    실습내용 및 결과(1) 1초 생성기0~line 24에서 cnt를 24999999로 설정하는 이유:보드 내에 자체 클럭이 50MHz이다. ... Top Disign의 VHDL의 설계에 사용하고 시그널을 사용해서 회로간 연결한다. 자세한 내용은 실습내용에서 다루겠다.3. ... 실습 소감
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • 디지털 도어락 설계 코드, 보고서 ,ppt
    1) FPGA 실습키트를 활용하여 디지털 도어락을 구현한다. 2) Switch 입력과 FND 및 LED 출력을 위한 VHDL 코드를 작성한다. 3) 디지털도어락 동작을 위한 내부 상태머신을 ... 설계 및 구현하고, 상태 전환이 설계 사양대로 동작함을 확인한다. 4) 디지털 도어락을 VHDL 코드를 이용하여 구현하고, 이를 Modelsim으로 검증하며, FPGA 실습 키트에
    리포트 | 5페이지 | 4,000원 | 등록일 2016.05.31 | 수정일 2021.12.08
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4. ... 실습 목적 :앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을 ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • [대충] 결과 VHDL 설명 및 문법
    디지털공학실험(결과보고서)실험 : VHDL 설명 및 문법◆실험가. NOT gate 실습나. NAND gate 실습다. ... XOR gate 실습◆검토 및 고찰VHDL의 특징과 설계 기법에 대하여 학습하고, 설계를 위한 기본적인 문법을 학습했습니다. ... 처음 VHDL을 사용해봤고 프로그램을 만드는 것도 생소하였기에 익숙하지 않아 시간이 제법 오래 걸렸습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • [대충] 예비 VHDL 설명 및 문법
    디지털공학실험(예비보고서)실험 : VHDL 설명 및 문법1. 실험 목적VHDL의 특징과 설계 기법에 대하여 학습하고, 설계를 위한 기본적인 문법을 학습한다.2. 실험 이론가. ... VHDL의 특징①장점 : 표준화된 라이브러리, 특정 기술 및 공정에 무관한 설계방법, 폭 넓은 이용 범위,Top-Down 방식의 설계, 재사용이 가능, 설계 기간 단축②단점 : VHDL ... 실험코딩에서 action일 때 동작적 모델링 기법, actiondata일 때 자료 흐름적 기법.①NOT 게이트 실습library ieee;use ieee.std_logic_1164.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 디시설 - 7-세그먼트 디코더 설계
    연결하여 직접 출력하도록 한다.VHDL 코드- VHDL 코드 주요 동작부분 해석① 18행의 rising_edge(clk)는 클럭의 상승 에지가 발생하는 것을 검사해 에지에 동기시키고 ... 제목7-세그먼트 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... 이 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16지수를 한자리 7-세그먼트에 출력하며 스위칭 인코더와 7-세그먼트 디코더의 동작특성을 알고, FPGA kit와
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 교수설계 교수지도안 이론/실습
    디지털 공학 및 디지털공학실습 과목 이수자2. VHDL에 대해 학습경험이 없는 학생 3. C 프로그래밍에 대해 기초지식이 있는 학생 최종수업목표1.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.01.17 | 수정일 2016.02.05
  • FPGA 기반 DSP - User Component 생성 및 구동 방법
    User Component 생성7-Segment User Component를 VHDL 로 생성시킴Qsys Tool을 이용하여 VHDL Component 로 변환- 생성된 User Component ... Application에 해당하는 코드를 C언어로 구현한다.앞서 생성된 HW 파트와 Application 코드를 Compile, Linking 하여 최종 Elf 파일을 생성한다.결과 확인 및 ... 고찰Nios II Console 에 숫자를 입력하고 7-Segment 에 불이 들어오는지 확인한다.실습으로 FPGA 의 User Component를 생성하고 사용하는 방법을 확인하였다.FPGA의
    리포트 | 3페이지 | 1,500원 | 등록일 2015.05.14
  • [대충] 예비 VHDL을 이용한 기본 논리 게이트 및 가산기의 구현
    디지털공학실험(예비보고서)실험 : VHDL을 이용한 기본 논리게이트 및 가산기의 구현1. 실험 목적VHDL을 이용한 조합논리회로 구현을 익힌다.2. 실험 이론가. 반가산기나. ... 반가산기 실습①동작적 모델링 기법library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity half_adder ... -예비보고 사항 ①, ②, ③ 모두 위의 실험이론 및 앞선 실험들에서 설명을 하였기에 생략하겠습니다.4. 실험가.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre
    Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 ... FUNCTION_SET에서는 data 길이, 행의 개수, 밝기와 같은 설정을 해주며, DISP_ON_OFF에서는 화면 표시와 커서와 관련된 설정, ENTRY_MODE에서는 커서 위치 증가 및 ... CodeSimulation of Text LCD Applicationdata[7] ~ data[0]를 통해 원하는 문자열이 출력되는 것을 확인할 수 있다.Reference교안 – Verilog HDL 실습
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 디시설 - 멀티플렉서, 디멀티플렉서 설계
    따라서 이번 실습에서는 멀티플렉서와 디멀티플렉서의 동작을 이해하고 VHDL 코드를 작성하여 설계한다.실습 내용실습 결과MUX1. 멀티플렉서(MUX: MUltiplexer)란? ... 이것은 Verilog나 VHDL이 합성되는 과정에서 그 전의 값을 유지하기 위해 래치를 포함시키기 때문이다. ... VHDL 코드 분석- 라이브러리 지정 후, entity 선언을 한 후 순차기술문 또는 병행기술문으로 멀티플렉서 구현 이 가능하다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 2017 하반기 동부그룹 인프라 엔지니어 자소서, 자기소개서
    또한 삼성전자서비스에서 2달간 콜센터 상담으로 현장실습을 한 경험이 있습니다. ... 하지만 VHDL은 c언어와 너무나 달랐습니다. ... 2017 하반기 동부그룹 인프라 엔지니어해당 직무를 지원하게 된 동기 및 당사가 귀하를 꼭 채용해야 하는 이유를 기술하십시오(1200byte)제가 희망하는 직무는 인프라 엔지니어 직무입니다
    자기소개서 | 2페이지 | 4,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 디시설 - 수 정렬회로 설계
    크기 비교기와 유사하게 입력되는 두 수를 비교해서 큰 수와 작은 수로 분류한 다음 출력하는 회로이며, 이번 실습을 통해 VHDL의 package 사용 방법에 대해 배워 본다.실습 내용실습 ... 결과 보고서( 수 정렬회로 설계 )제목수 정렬회로 설계실습 목적정렬회로는 두 수를 입력받아 크기를 비교한 후 큰 수를 max 7-세그먼트 FND에, 작은 수를 min 7-세그먼트 FND에 ... 결과크기비교기VHDL코드- 코드해석 :package를 선언함으로써 사용자 함수나 사용자 데이터 타입을 선언할 수 있으며, VHDL에서는 subtype을 정의할 수 있다. subtype은
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • VHDL을 이용한 VGA Pattern Generator 설계
    실습목표 :① 3색 세로줄 패턴을 VHDL로 설계 및 DE2동작확인하기② 3색 본인이름을 VHDL로 설계 및 DE2동작확인하기③ 4색 가로줄그라데이션을 VHDL로 설계 및 DE2동작확인하기④ ... VHDL실습ReportVGA Pattern Generator제출일2013년 5월 19일최종기한2013년 5월 20일담당교수최 종 성 교수님학과전 자 공 학 과학번2009144029이름우 ... 실습① 3색 세로줄⑴ VHDL1~4줄라이브러리의 선언6~19줄입출력 포트의 선언, CLK는 DE2보드의 50MHz가 되겠고 디스플레이에서는 25MHz를 사용하기위해 분주하여 사용하며
    리포트 | 16페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • VHDL을 이용하여 다양한 state machine 설계
    VHDL실습ReportState Machine 설계하기제출일2013년 5월 9일최종기한2013년 5월 13일담당교수최 종 성 교수님학과전 자 공 학 과학번2009144029이름우 ... 실습목표 :1) BCD코드의 상태도를보고 VHDL로 설계해본다.2) Gray코드의 상태도를보고 VHDL로 설계해본다.3) Dual카운터를 VHDL로 설계해본다.4) Stepping ... 설계 및 시뮬레이션1) BCD코드① VHDL코드state_type인 타입을 빨간네모부분처럼 선언해준뒤 signal state를 그 타입으로 지정해준다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post
    Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 ... LCD가 정상 작동함을 확인하였고, LCD와 관련된 Register bits를 수정하여 display가 shift 되도록 제어하였다.Reference교안 – Verilog HDL 실습 ... 이름 표시SimulationData의 값을 datasheet와 비교해보면 학번 및 이름 character와 일치하는 것을 확인할 수 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 2018 KPX전력거래소 전산직,IT직 합격 자소서,자기소개서
    채팅 프로그램과 계산기를 구현해보았고, 여러 가지 실습을 해보았습니다. ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다.
    자기소개서 | 3페이지 | 8,000원 | 등록일 2017.02.27 | 수정일 2018.05.18
  • 디시설 - 인코더, 디코더 설계
    숙달한다.실습 내용실습 결과디코더- 디코더란? ... 결과 보고서( 인코더, 디코더 설계 )제목인코더, 디코더 설계실습 목적디코더는 n개의 입력과2^{ n}개의 출력을 갖지만, 인코더는2^{ n}개의 입력을 받아서 인코딩된 n개의 출력을 ... 그러나 일반적인 인코더의 문제점은 8개의 입력에서 2개 이상의 입력이 ‘1’로 되었을 때 각각에 해당하는 인코딩 결과가 모두 출력되어 정확한 결과를 기대하기 어렵다.따라서 이번 실습에서는
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대