• 통큰쿠폰이벤트-통합
  • 통합검색(182)
  • 리포트(144)
  • 자기소개서(37)
  • 이력서(1)

"VHDL및 실습" 검색결과 161-180 / 182건

  • [공학기술]VHDL 연산자와 순차처리문
    VHDL 연산자와 순차처리문연산자순차처리문Process문If문차 례3.2.4 연산자(Operator) - 연산자의 종류와 우선순위 3.3 순차처리문 실습 3.3.1 process문 ... ; end if; end process;no3시뮬레이션(Simulation)3.3.1 process문검 토process no1, no2, no3는 각각 or게이트, nand게이트 및 ... = y = n; end case; ㆍ 반복제어문(for loop문) for i in 0 to 7 loop y(i) = a(i) and b(i); end loop;3.3 순차처리문 실습연산자순차처리문Process문If문차
    리포트 | 15페이지 | 3,000원 | 등록일 2007.08.03
  • VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... 즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션 ... Spartan-3목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 도구 HYPERLINK \l "Postlab" Post Lab (실험 결과 및
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • [토끼] BCD to EX-3 code converter (sequential circuit)
    VHDLLIBRARY IEEE,ARITHMETIC;USE IEEE.STD_LOGIC_1164.ALL;USE ARITHMETIC.STD_LOGIC_ARITH.ALL; -- 라이브러리 설정 및 ... BCD 를 Excess-3 code로 변환하는 순차회로를 설계하는 실습으로, 하드웨어를 HDL 로 기술하여 simulation 으로 검증하고 FPGA에 구현한다. ... 1.TitleBCD to EX-3 code converter (sequential circuit)2.Name3.AbstractBCD-EX3 Final Term Project본 실습에서는
    리포트 | 7페이지 | 5,000원 | 등록일 2011.04.10 | 수정일 2020.07.10
  • 논리회로 설계실험 shift register
    Introduction1)Flip-Flop에 대해 이해한다.2)VHDL 언어를 통해 shift register를 설계 할 수 있다.3)shift register에 쓰이는 DFF를 이해한다 ... direction port를 통해 shift를 왼쪽으로 할 것인가 오른쪽으로 할 것인가를 결정실습 시에 entity는 다음을 따를 것entity shifter isPort ( clk ... shift register들은 비동기 reset 및 동기 enable 로 작동하도록 구현할 것? mode port를 통해 shifter의 종류를 선택?
    리포트 | 9페이지 | 1,000원 | 등록일 2009.07.10
  • 4-bit 전가산기(Full Adder)설계와 2의 보수를 이용한 감산기 설계
    VHDL를 이용하여 지난 주에 실습한 전가산기(Full-Adder)를 토대로 4bit 전가산기와 2의 보수를 이용한 감산기를 설계하고 결과값 중 5쌍을 시뮬레이션하여 그 결과를 확인한다 ... 이번 실습을 통하여 복잡한 회로는 component를 이용하여 여러 개의 소스파일로 설계할 수 있음을 알게 되었다. ... 설계 배경 및 목표1.
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.24
  • 엘레베이터 제어기 vhdl로 구현 단일 엘리베이터
    실습주제-계절학기동안 배운 논리회로를 기반으로 HDL언어를 사용하여 우리 주변에 쓰이는 단일엘리베어터 제어기를 설계해본다. ... 문이 열린다.위로 이동중 - 바로다음층에서 엘리베이터는 정지하고 문이 열린다.3.엘리베이터 운행에 영향을 끼치는 변수들 조사 .1.정원초과2.문이 닫힐때 : 장애물발견3.화재 발생 및 ... 있는 부분만을 적었습니다.)3-1.변수 발생에 따른 엘리베이터 동작 구현1.정원초과 경고음이 울리면 문이 닫히지않는다.2.장애물발견시 경고은이 울리고 문이 다시열린다.3.화재발생 및
    리포트 | 17페이지 | 1,500원 | 등록일 2008.02.26
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    우리는 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... 우수한 패키징, 속도 등급, 테스트 및 공정 기술을 통해 Xilinx는 상당한 비용 절감 효과를 거두었다. ... 즉 VHDL 코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인(functional) 관점을 시뮬레이션하기 위한 Synthesis와 실제 시간적인
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL M bit 가산기와 비교기(post lab 입니다) vhdl code
    및 FPGA 실습, Xilinx ISE 6.3i 시리즈 활용, EDA-Lab 3000 시리즈 활용, 개정판, 홍릉과학출판사, 2005년. ... 토론 및 분석 (Discussions and Analysis) HYPERLINK \l "reference" # 참고문헌1. ... 토론 및 분석 (Discussions and Analysis)이번 실험은 Adder와 Comparator를 설계하는 것이었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • Ping-pong(핑퐁) Machine 설계코드및 분석
    module source coding을 완성하였고, synthesize를 통하여 오류의 검출 및 수정을 하였다. ... 또한 digit, led는 std_logic_vector를 data type으로 가지고 있으며, 여기서 std_logic_vector는 std_logic의 나열로써 이번 실습에서는 ... Introduction주어진 조건을 만족하는 Lamp Ping-pong Machine을 VHDL로 구현해보고, 올바른 simulation 결과를 얻는 것이 이번 project의 목적이다
    리포트 | 14페이지 | 2,000원 | 등록일 2007.11.16
  • [대구기능대학][대구기능대학 연혁][대구기능대학 학교조직][대구기능대학 학과소개][대구기능대학 견학 후기]대구기능대학의 연혁, 대구기능대학의 학교조직, 대구기능대학의 학과소개, 대구기능대학의 견학 후기
    디지털 논리회로설계실습(FPGA), VHDL)의 교과목을 가르치며 전자부품 및 제품 제조분야(컴퓨터, 영상기기, 음향기기 등), 산업자동화 및 가전 전자기기의 제조 및 A/S분야, ... 및 재료시험, 정밀측정실습, 프로젝터실습 등의 실습교과를 가르친다. ... , 프레스금형설계CAD실습, 사출금형설계CAD실습, 금형CAM실습, CNC실습, 금형요소제작실습, 금형보수 및 성형실습, 공유압실험, 정밀측정실습, CAE실습, 금형제작실습, 열처리
    리포트 | 6페이지 | 5,000원 | 등록일 2009.03.10
  • VHDL를 이용한 LCD 설계
    KIT를 이용한 실습인 만큼, process문도 많아지고, 내부 signal도 많아졌다. ... .- 각 알파벳 및 숫자를 표시하기 위해 어떤 값을 넣어 줘야 하는 지 파악한다.ISE을 통해 KIT 설정하기- KIT의 각 pin을 설정해주고, KIT 동작에 필요한 몇 가지 사항을 ... STD_LOGIC_VECTOR(1 downto 0); LCD_EN : out STD_LOGIC;LCD_D : out STD_LOGIC_VECTOR(7 downto 0));end LCD_TEST;( VHDL
    리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • 논리회로 설계 실험 계산기 설계
    할 수 있다.2)LCD출력 특성에 대해 알 수 있다.3)FPGA 보드에 있는 다양한 스위치들을 다뤄 볼 수 있다.4)여러 개의 entity가 어떤 구조로 실행되는지 생각해봄으로써 VHDL ... PUSH 스위치를 통해서 데이터를 읽어서 register file 에 저장 및 계산 결과 저장ㄹ) 입력 스위치DIP_SW(0:3): 16진수 한 자리를 표현PUSH1: DIP_SW를 ... 최상위 module☞LCD_TEST : LCD를 초기화 시키고 register file로부터 데이터를 읽어서 LCD에 출력하는 module☞DATA_GEN : 외부 DIP 스위치 및
    리포트 | 21페이지 | 1,000원 | 등록일 2009.07.10
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    디지털 시스템 설계를 위한 VHDL 기본과활용. - 박세현, 그린.1998? 디지털공학 및 실습. ... VHDL 코딩 --------------------------------------- 610.
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • VHDL을 이용한 디지털 회로설계
    VHDL Graphic & Text Design 실습(Max Plus 2)VHDL을 이용한 디지털시스템 설계1. ... 기술해 이를 시뮬레이션하기 위해 만들어진 언어이므로 설계자들의 또 다른 관심사라 할 수 있는 정확한 타이밍의 예측 및 임계 경로 등의 계산이 어렵다는 문제점이 있다. ... VHDL 이란2. 간단한 VHDL 모델링과 VHDL의 기본표현3. VHDL 기본 구성4. 자료형과 객체5. 절차적?기능적?데이터 흐름적 표현6.
    리포트 | 21페이지 | 1,000원 | 등록일 2005.05.19
  • [논리회로]자판기 구현
    특히 수업시간에 배운 내용들을 직접 실습을 수행 함으로서 더욱 명확히 회로의 동작 원리를 이해할 수 있었다. ... 을 이용한 디지털 설계결론 및 후기우리가 손쉽게 사용하는 자판기에도 다소 복잡한 DIGITL LOGIC 이 사용된다는 것을 알 수 있었다. ... 한다든지 하는 실제와는 다소 거리가 먼 입력이 있을 수도 있다.Simulation Result 1Simulation Result 2References[영한출판사] 디지털 논리회로 응용 및
    리포트 | 19페이지 | 3,500원 | 등록일 2005.04.18 | 수정일 2017.10.24
  • LG전자 MC SW 합격 자소서입니다.
    디지털 회로에 대한 이해와 VHDL언어 습득- 이를 이용하여 Altera FPGA+ARM926 보드 상에서 'UART 통신을 통한 디지털 도어락'이라는 프로젝트도 진행하였습니다.3. ... 실습실에 구비된 Netanalyzer를 활용하여 실제 측정까지 마쳤습니다.또한, [Sernel Programming, Device Driver, Android, Mango-100 보드에 ... 이 프로젝트를 진행하기 위해서는 배웠던 자료구조 및 알고리즘들에 대한 이해가 필요했습니다.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2012.11.01
  • 임베디드 시스템
    실습VHDL 및 System CFPGA설계기본 레지스터 맵 설계기본 SoC를 이용한 보드 설치디바이스 드라이버SoC 고급화 설계임베디드 SW 연동타겟 SoC 최적화통신이론 및 무선인터넷리눅스 ... 각종 운행전자레인지 인터넷으로 요리정보 검색 및 다운로드HDTV/인터넷TV 인터넷 검색, 각종 기능 설정 등세탁기 인터넷으로 세탁기 제어 및 각종 자동 실행인터넷 냉장고 인터넷으로 ... 구현 실험실시간 시스템객체지향 분석및 설계(UML)성능 및 안전성 보장자료:ETRI2003Embedded OS 탑재 필요성기존 시스템에서의 S/W 간단하고 단순한 순차적인 작업에 관련
    리포트 | 26페이지 | 1,500원 | 등록일 2008.12.23
  • [Wireless Control Micromouse] 무선 조정 마이크로마우스 with VHDL
    서론1) 제작 목적 및 수행 범위: 이번 Project를 선정하게된 계기로는 이전 컴퓨터시스템 실습 시간에 DC모터를 이용한 라인 트레이서를 제작하여 보았었는데 그때 당시 Project를 ... 그래서 이번 Project에서 이전의 아쉬웠던 부분들을 보완하고 추가적으로 세밀한 컨트롤 기능을 더하기 위하여 Step모터를 사용하여 보다 정교한 신호제어를 언어로는 VHDL을 그리고 ... Report:: Wireless Control Micromouse ::제출일 :과목명 :담당 교수 :학과 / 학번 :이름 :n 서론1) 제작 목적 및 수행범위2) 동작 개요n 본론1
    리포트 | 24페이지 | 1,000원 | 등록일 2007.12.01 | 수정일 2015.07.26
  • [전자] 8비트 가감산기 설계
    실습: 8-bit 감가산기 설계1. ... 병렬가산기를 참조하여 설계Waveform editor를 이용하여 simulation에 필요한 데이터 파일을 만들어 Simulator를 사용하여 설계가 맞는지 검사두 가지 설계 방식의 특징 및 ... 설계 방식MAX+PLUS Ⅱ의 graphic editor를 이용한 schematic capture 방식MAX+PLUS Ⅱ의 text editor를 이용한 VHDL 사용 방식3.
    리포트 | 4페이지 | 1,000원 | 등록일 2002.03.31
  • 취업으로 향하는 자소서(10대그룹 및 여러 회사 자소서)
    “흥미 있던 실험실습과 강한 열정”대학 입학 후 전공 공부를 하면서 전자 및 제어 공학이라는 학문이 제 적성에 맞는 것을 알게 되었고, 그 중에서도 전공을 바탕으로 실험을 통한 제어와 ... 제가 접해본 실험으로는 Ball Levitator, 도립진자의 제어분야와 온도센서, 광센서, 초음파센서 등의 동작을 이해해본 센서분야, Pspice와 VHDL을 통한 회로 설계 등을 ... 전공지식을 바로 학부에서 실습할 수 있는 기회는 실험이었는데, 처음부터 이론이 쉽게 접목되지는 않았습니다.
    자기소개서 | 90페이지 | 3,000원 | 등록일 2009.12.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대