• 통큰쿠폰이벤트-통합
  • 통합검색(105)
  • 리포트(102)
  • 논문(1)
  • 자기소개서(1)
  • 시험자료(1)

"fpga 7segment" 검색결과 21-40 / 105건

  • 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    -FPGA Kit에서 7 segment 모듈과 Keypad 모듈을 이용하여 Digital Lock을 설계한다. ... -입력된 번호는 7 segment 모듈에 표시한다. 입력이 끝나면 잠금 및 해제를 7 segment 모듈에 표시한다.2) 설계 목표알고리즘 순서0. ... 입력된 번호는 7-segment 모듈에 표시되며 표시되는 메시지는 다음과 같다.
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • BCD code, 세븐 세그먼트에 대한 이론 및 회로
    값이 9거나 9보다 작으면 그대로 결과값으로 사용하고③ 연산결과 4비트의 값이 9보다 크거나 자리 올림수가 발생하면 그 결과값에 6(0110)을 더하여 결과값으로 사용한다.(2) 7segment의 ... 실험 장비 및 부품Digilent Nexys4 FPGA BoardVivado Design Suite 2014.43. ... verilog를 이용한 디지털 시스템 설계(Charle Roth, Lizy Kurian John, Byeong Kil LEE 저)(2) Verilog HDL 디지털 설계와 합성의 길잡이(sam
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    이전 실습이었던, 7segment를 조작하는 방법과 같이 Process 여러개를 이용하여, 클럭값과, LCD의 각 값을 조절한다. ... /1408390/ -3d프린터 사진fundamentals of logic design, Charles, Larry L Kinney 7th3. ... 1.목적(Purpose)이번실습은 FPGA의 LCD를 조작하는 실습이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 서울시립대 전자전기설계2(전전설2) 2주차 사전보고서
    각각의 SPLD 블록 간에는 나누어진 segmented Interconnect를 쓰기 때문에 연결 상태에 따라 내부의 연결 길이가 변경 될 수가 있다. ... XC3S200의 최대 논리 게이트 구현 개수는?XC3S200가 최대로 구현 가능한 논리 게이트의 개수는 200000개이며 논리 소자/셀 개수는 4320개이다.4. ... 일단 FPGA와는 확연히 다르게 칩 내부에 비활성 메모리가 존재하여 외부 설정 ROM이 불필요하다.FPGA ?
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.13
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... 이때, 330Ω의 저항을 중간에 연결해야 7-segment가 안정적으로 동작한다.[그림 13]진리표는 [그림 14]와 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서강대학교 디지털논리회로실험 레포트 9주차
    메모리 소자 연결 신호와 FPGA 핀번호의 연결STEP 3) DIP_SW[3:0]과 DIP_SW[7:4]의 내용을 변경하면서 7-segment에 표시되는 내용을 살펴보았다.사진 SEQ ... 0]을 통해 7-segment display에 연결된다. ... 두 7-segment displays에 표시하기 위한 회로이다.
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • LIG넥스원 생산관리직 합격자소서
    먼저 소자를 선정해 납땜했고 7segment를 연결해 숫자출력에 쉽게 성공했습니다. 그 후 "시작,멈춤,리셋" 3가지 스위치 제작 과제가 주어졌습니다. ... 먼저 모든 외관검사 Part(1~7)를 분석하면 많은 시간과 인력이 낭비될 것으로 생각했습니다. ... 이를 통해 Linux 활용법 및 FPGA-Design Flow를 상세히 배워 설계에 대한 이해도를 높였습니다.둘째, '마이크로프로세서설계'에서 초시계를 제작하며 HW개발 경험을 쌓았습니다
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.07
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    PAL은 일반적으로 수백개의 논리 게이트를 구현하는 반면 FPGA는 수만에서 수백만에 이른다.두 번째로 macro cell간에 복잡한 피드백 [7] Spartan-3 FPGA의 성능System ... FPGA의 한 종류인 spartan 3 chip을 활용하여 재구현 하는 실험이었다.이러한 과정을 통해 복잡한 회로를 압축하여 구현이 가능함을 보이고, 또한 이미 구현한 회로를 재사용하여 ... 따라서 XC3S200에서 구현 가능한 최대 논리 게이트 수는 4,320인 것 같다.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    실험 이론2.1. 7-Segment7-세그먼트 표시 장치(seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 선택한다.File ⇒ Initialize ChainFPGA에 프로그래밍할 파일을 선택한다..bit 파일을 선택한다.PROM에 프로그래밍할 파일을 선택한다.PROM File을 생성하지 않았고 FPGA에만 ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다.0123456789ororororo) 구동 방식과 스태틱(static) 구동 방식을 사용한다.
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    실험제목Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증2. ... 0001 1001● 7세그먼트 표시 장치(Seven-segment display)7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 ... 1Preliminary report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    실험제목Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증2. ... Adder의 설계 방법을 익힌다.③ Seven-segment display를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다3. ... 1Result report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증자료는
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    segment Controller FPGA 결과3. ... ABS 구현[그림 6] Adder/Subtracter 구조도[그림 7] add/sub+ABS+7-Segment block diagram- ABS FPGA 보드 검증① 0011(3) ... BCD-to-7 segment 구현[표 2] BCD-to-7 segment 진리표[그림 3] BCD-to-7 segment 시뮬레이션 결과 (입력 : A | 출력 : P,P10)3.
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • 스톱워치(stop watch) 설계 프로젝트
    설계 제안Clockstart/ stopreset/ lapLatchMUX7segment7segmentVccsecond/ 10secondminute1) FPGA 내부에서 클럭을 발생시킨 ... 설계 목표: FPGA를 사용하여 스톱워치를 설계한다.- 입력 : start/stop, rap/reset 버튼 2개로 구성- 출력 : 7segment 5개를 사용하여 분, 초, 초/10 ... clear 입력이 별도로 존재하여 reset스위치를 통하여 5개의 7490 소자가 모두 동시에 clear되는 구조를 가진다.D LatchEnableD LatchD Latch0.1sec7segment1sec7segment10sec7segment1min7segment10min7segmentD
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. ... [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... ]와 Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형하는 [Segment Clock Counting Part]와 IC set를 지나온 신호들을 7-segment
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • A+ 디지털 시스템 실험 Latch & Flip-Flop <6주차 결과보고서>
    5주차에서 만든 7-segment를 통해 counter를 FPGA로 올려 가시적인 모습으로 볼 수 있었다. ... CLR=1;endalways #10 CLK = ~CLK;endmoduleBCD-to-7 segment 테스트벤치 코드BCD Ripple counter + BCD to 7-segment는 ... CLR=1;endalways #3 CLK = ~CLK;always #5 K=~K;always #7 J = ~J;endmoduleBCD-to-7 segment 테스트벤치 코드BCD
    리포트 | 11페이지 | 1,500원 | 등록일 2017.07.05
  • 전자전기컴퓨터설계실험2(전전설2)8주차예비
    Static/Dynamic 7-segment7-segment 하나가 쓰이는 것을 static 7-segment라고 한다. 즉, 십진수의 한 자리를 표현해주는 것을 의미한다. ... 이를 여러 개로 확장시킨 것이 바로 Dynamic 7-segment이다. ... 보통 한 자리를 표현할 때 사용되는 input과 output은 8개인데 segment가 늘어나면 자연스럽게 input과 output도 늘어나기 때문에 Dynamic 7-segment에서는
    리포트 | 26페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    Include this file in your project and assign the pins on the FPGA to connect to the switches and 7-segment ... 실험2.1 partⅠ: 7-Segment Display of Decimal Inputs? 실험목적 : binary to decimal displaying 7-segment? ... 실험내용⑴ SummaryWe wish to display on the 7-segment displaysHEX3 toHEX0 the values set by the switchesSW
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • 디지털논리회로실험(Verilog HDL) - Characters and Displays
    on a 7-segment display. ... Create a Verilog module for the 7-segment decoder. ... 실험목적 : 7-segment Decoder를 구현하여 character 나타내기 (H,E,L,L,O)?
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 연세대 전기전자 기초실험 chapter. 8 (2017년판) 예렙+결렙
    We made 7-segment LED and ALU by verilog and It was implemented by FPGA. ... In 7-segment LED, decoder is used. ... operation is used. 7-segment is mentioned above.
    리포트 | 13페이지 | 1,500원 | 등록일 2018.07.17
  • 디지털논리회로실험(Verilog HDL) - Adders
    Display the BCD values of A and B on the 7-segment displays HEX6 and HEX4, and display the resultS _{ ... 인 s를 계산한 후, part2에서 했던 7-segment display를 적용한다.circuitB 연산은 z = 1이면 HEX에 1을 띄우고 0인 경우 HEX에 0을 띄우는 module이다.circuitA는 ... into FPGA chip.5.
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:50 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대