• 통큰쿠폰이벤트-통합
  • 통합검색(105)
  • 리포트(102)
  • 논문(1)
  • 자기소개서(1)
  • 시험자료(1)

"fpga 7segment" 검색결과 81-100 / 105건

  • 서강대학교 전자공학과 4학기(2학년 2학기)의 디지털논리회로실험 레포트입니다
    실험 목적(1) 일반적인 binary decoder의 동작 원리를 이해한다.(2) 7-segment decoder의 동작 원리를 이해한다.(3) Encoder의 동작 원리를 이해한다 ... fanout에 대해 이해한다.(2) Gates를 이용하여 구현된 임의의 논리 함수가 최소화 될 수 있음을 확인한다.(3) Wired OR logic의 특성과 활용 방법을 익힌다.(4) FPGA
    리포트 | 9페이지 | 2,000원 | 등록일 2011.03.27 | 수정일 2021.09.20
  • VHDL를 이용한 디지털 시계
    따라서 역시 0~9를 표현할 수 있는 4bit의 signal로 선언해 주어야한다. seg는 7segment을 지정하기 위한 것으로 seg(7~0)이 seg(dp~a)순으로 지정되는 ... 이것은 동시에 일어나도 무관하므로 case문을 이용하여 각 data값에 따른 segment 입력를 설정해주어야 한다.- clk를 설정해주어야 하는데, 기본적인 FPGA는 4MHz이기 ... 선언하고, 4bit의 data를 통해 0~9까지의 숫자를 선언할 수 있다. seg는 7segment를 선언해줄 내부 signal이다.③ Architecture 부분-여러 개의 process문으로
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    프로젝트 목표- VHDL에 프로그램을 작성하여 다수의 7segment로 디지털 스톱워치를 만들 수 있다.4. ... 지금까지 7-segment 여러개를 이용하여 0~999 카운터 만들기, led켜기, dot-matrix 등을 만들어 왔다. ... switch로 control- 시간, 분, 1/100초 단위까지 있어서 세밀하게 시간을 측정할 수 있다▼ 사용된 툴과 키트 소개- 사용 툴 : Quartus2- 키트 : HBE-COMBO2[FPGA
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • 연세대 전기전자 기초실험 09년도 레포트 결과 8 Basic Logic Circuit Design
    8-5 result of 7-segment decoder2. ... When we switch 11->00, signal changes a few times later.priority encoder 8 to 3 and delay matrix.7segment ... operating frequency when this circuit is run by clock.maximum delay route of 7 segment decoder is I1
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.17
  • vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    segment decoder를 통하여 7-segment LED에 표시하기 위하여 7-segment를 벡터로 나타내어 최상위 bit를 s[6]로하고 가장 최하위 bit를 s[0]까지 ... 그러나 FPGA보드에 구현시 7-Segment의 경우 1의 값이 입력되었을때 불이 꺼지고, 0의 값이 입력되었을때 불이 꺼지게 되어 소스 코드 과정에서는 보수를 사용하여 표현하였다.Library ... s[6], s[5], s[4], s[3], s[2], s[1], s[0]으로 표시한다.ABCDEX-3to7-segmentdecoderS[6]S[5]S[4]S[3]S[2]S[1]S[0
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • 전기전자기초실험 Combination Logic Circuit Design 결과보고서
    Also, understand the mechanism of 7-segment controller used to display number in the digital circuit, ... Conducting verilog simulation, and verify these results with FPGA Kit. ... `b0011: seg=7`b1111001;4`b0100: seg=7`b0110011;4`b0101: seg=7`b1011011;4`b0110: seg=7`b0011111;4`b0111
    리포트 | 10페이지 | 1,000원 | 등록일 2009.09.08
  • VHDL를 이용한 FSM 설계 및 키트 사용법
    segment의 원리를 알고, 주어진 파일의 작동 원리를 이해한다.- 7개의 segment로 어떻게 0~9까지 표현 가능 한가 ? ... 또 하나의 예로 “7”같은 경우는 “11100000”으로 표현해주면 된다- 7-Segment의 code가 완성되면 각 segment에 맞는 pin값을 설정해 주어야 한다.옆에 표와 ... 사용법- icampus에서 7-segment파일을 다운 받아 New Source를 통해 불러온다.- 프로젝트 생성 시Product category = AllFamily = Spartan3Device
    리포트 | 13페이지 | 1,000원 | 등록일 2010.05.27
  • combinational logic circuit design(결과)
    segment Decoder. ... we have some problem using FPGA kit. ... segment decoder, then calculate the maximum operating frequency when this circuit is run by clock.- Find
    리포트 | 9페이지 | 1,000원 | 등록일 2011.07.09
  • Excess 3 to BCD code converter ( Excess-3-to-BCD code converter )
    decoder를 통하여 7-segment LED 에 표시한다.< EX 3 TO VCD Code Converter and 7–seg.LED Decoder 회로도>Specification ... diagram 을 그려서 또 하드웨어를 HDL 로 기술하여 simulation 으로 검증하고 FPGA에 구현한다. ... 입력에 스위치를 통하여 Excess-3 code 를 인가하고 출력에 LED 를 연결하여 BCD code 를 확인하고 더 나아가 Excess-3, BCD code를 7-segment
    리포트 | 16페이지 | 3,500원 | 등록일 2011.04.22
  • 종합설계 최종 보고서
    시스템 블록도(2) LCD display 검증가 Lcd display 블록도나 MAX+plusⅡ (compile / programer)다 HBE-COMBO KIT 검증(3) Led/7segment ... 주문 제작된 IC를 하 나 이상의 사용자가 구매하면, 더 이상 ASIC으로 보지 않고 ASSP(application specific standard product)라 부른다.(2) ... 목표 변경에 따른 수정 어렵고, 수량이 적을 시 가격 고가(3) ASIC의 분류▲전체 반도체 로직 분야는 표준 로직(standard logic), ASIC(application specific
    리포트 | 26페이지 | 3,500원 | 등록일 2009.07.20
  • 논리회로 설계실험 농구전광판
    : in STD_LOGIC;Lever_point_up_down : in STD_LOGIC;--segment driver 모듈로 시간 출력--------------------Out_minint ... _24, Sitch_time_stop : 0);data : in STD_LOGIC_VECTOR (7 downto 0);w_enable : out STD_LOGIC;LCD_A : out ... 클락 관련 포트FPGA_RSTB : in STD_LOGIC; (기능 리셋)FPGA_CLK : in STD_LOGIC; (클럭 공급)?
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • Shift Register & Division Circuit
    (segment는 Clock의 진행 정도)를 돕도록 하였다. ... 즉 100의 입력에 하나를 출력하는 100분주 회로의 작동을 실행한 것이다.FPGA 실험에서 100분주하는 것을 보이기 위해 LED와 7-Segment를 동시에 연결하여 시각적 이해 ... 문제에서 주어진 7-seg는 쿼터값을 확인할 수 있으며, 클럭에 따라 오른쪽으로 이동하는 것이 확인된다.
    리포트 | 29페이지 | 3,000원 | 등록일 2010.10.16
  • [회로설계]VHDL로 구현한 LCD(시계표현) 및 세그먼트(알람시각)를 이용한 디지털 시계
    NormalMODULE segment7.vhdMODSTYLE segment7 NormalMODULE count1000.vhdMODSTYLE count1000 NormalMODULE ... spartanFLOW FPGA Express VHDLMODULE count25.vhdMODSTYLE count25 NormalMODULE count.vhdMODSTYLE count ... JDF E// Created by ISE ver 1.0PROJECT lcd_seg_watchDESIGN lcd_seg_watch NormalDEVKIT xcs10-3pc84DEVFAM
    리포트 | 1페이지 | 3,500원 | 등록일 2003.12.08
  • VHDL을 이용한 전자키 프로젝트 결과 보고서
    Segment와 LED 제어- PXA255-FPGA에서는 Dynamic 방식의 7 segment LED 6개를 사용한다. ... 값에 따라 출력될 7 segment를 정하고 그 시점에서의 데이터 라인으로 들어오는 신호를 7 segment에 출력한다.- 동시에 7 segment를 이용하기 위해서는 LED 선택 ... Dynamic 방식의 7 segment LED는 8개의 데이터 라인과 6개의 LED 선택 데이터 라인을 가진다.- Dynamic 방식의 7 segment LED는 LED 선택 라인의
    리포트 | 22페이지 | 2,500원 | 등록일 2007.06.10
  • 컴퓨터 시스템 설계 및 실험 (Serial Memory 최종 보고서)
    [7:0]segmentE;reg[3:0]count;// rx_data값 segmentA-B에 저장always@(posedge clk)begincase(rx_data[7:4])4'h0 ... rx_en 신호를 체크하여 rx_en 신호가// enable일 때 8비트 rx_data 데이터를 읽어들여 pd_data에// 저장함//// 총 ////////////////module segment ... 이 결과를 FPGA보드의 Ssegment LED에 출력하여 확인한다.다.
    리포트 | 42페이지 | 2,000원 | 등록일 2007.10.21
  • [디지털공학]디코더와인코더
    Dip S/W 0~9 를 입력함에 따라 7-segment LED에 해당숫자가 표시될 수 있는 회로를 제작하여 검증하라.2. ... 시물레이션실험2)Dip S/W 0~9 입력에 따른 7-segment LED2.1 회로도2-2. 시물레이션실험33-1. 회로도3-2. 시물레이션실험44-1. 회로도4-2. ... Data3 by 8 Decoder 회로FPGA보드 동작 테스트InputOutputEnableSelectG1G1ANG2BNCBAY0NY1NY2NY3NY4NY5NY6NY7NX1XXX111111110XXXX
    시험자료 | 28페이지 | 1,500원 | 등록일 2006.11.30
  • 램프핑퐁머쉰 설계
    초기값은 0으로 만들었다. signal seg는 7비트로써 나중에 세그먼트에 점등이 되도록 seg_(a~g, dp)에 각각 맞도록 assign 한다. ... 그리고 shift_clk는 분주된 클럭 0.2초로 움직인다.begin--segment displayprocess(sel)begincase sel iswhen "000" =>digit ... Introduction이번 실습의 목적은 키트에 있는 LED와 segment display와 몇 개의 스위치를 사용해서 Lamp pingpong machine를 구현한다.
    리포트 | 16페이지 | 2,000원 | 등록일 2009.01.09
  • 디지털회로 - 시계(VHDL) 사전
    disp 모듈 : 6개의 7세그먼트를 동적으로 디스플레이한다.module watch(clk, rst, segment, point, q);input clk, rst;output [6: ... 0] segment; //7세그먼트 출력output point;//시,분,초 사이의 소수점 출력output [5:0] q;//디지트 출력wire [1:0] hour_h;//상,하위 ... , carry10);//시간 상위//동적인 디스플레이disp disp (clk, rst, hour_h, hour_l, min_h, min_l, sec_h, sec_l, segment
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.09
  • VHDL로 작성한 계산기의 소스 파일 입니다.
    VHDL로 작성한 계산기 소스파일입니다. 4칙연산(더하기,빼기,곱하기,나누기)를 수행하여 4개의 7 segment에 출력하도록 합니다.어떤 분이 구매하시고 동작하지 않는다고 하시는데 ... 머신이 구현되어 있습니다.그리고 마지막으로 segment_driver 파일을 열어보시면 제가 세그먼트를 정의한 것이 보입니다.그것과 fpga 핀을 정확히 일치 시켜서 작성하셔야합니다 ... 한백 보드라면 아마 쿼터스 툴에 알테라 fpga를 사용하시겠군요. 음.
    리포트 | 30페이지 | 3,000원 | 등록일 2008.03.30 | 수정일 2021.06.28
  • Ping-pong(핑퐁) Machine 설계코드및 분석
    , P_p1, P_p2를 지정하였고, output signal로 7segment를 선택하는 signal인 digit와 7segment의 점등 signal인 seg_a, seg_b, ... , 7segment의 점등 signal들의 특성을 나타내주는 signal인 seg와 이를 선택하기 위한 signal인 data을 선언하였다. ... segment, left segment를 이용한 Lamp Ping-pong Machine에 대한 올바른 설계를 하고, 이를 실제 키트 내에서 구현해보는 것이 이번 project에서
    리포트 | 14페이지 | 2,000원 | 등록일 2007.11.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대