• 통큰쿠폰이벤트-통합
  • 통합검색(105)
  • 리포트(102)
  • 논문(1)
  • 자기소개서(1)
  • 시험자료(1)

"fpga 7segment" 검색결과 101-105 / 105건

  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    : 우유 LED8 : 주스6 입출력 장치별 FPGA 핀 할당1 ... is --segment display function function dis_seg(cnt : integer range 0 to 15) return std_logic_vector ... 1111101 ; when 7 = seg_decode := 0100111 ; when 8 = seg_decode := 1111111 ; when 9 = seg_decode := 1101111
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • [임베디드시스템]리눅스 기반에서 C언어로 프로그래밍한 슬롯 머신
    LDS4000 이므로 추가적인 조치없이 프로그래밍 만으로 LED와 7_segment를 동작 시킬수 있을 것이다.2.2.3 정의된 도형의 랜덤출력배열에 정의된 도형의 부분을 세부분으로 ... 중Processor 보드, I/O 보드, LCD 보드는 Base 보드로 장착되거나 적층형으로 구성될수 있다.Base 보드에 최대 I/O 보드를 3개까지 장착하여 사용할 수 있고, DSP 보드나FPGA ... 있다.그리고 좀더 많은 자원을 소모하여 독립적인 슬롯 머신 기기로도 동작할 수 있을 것이다.본 프로젝트는 LDS4000의 여러 주변 장치를(C-LCD, key-pad, LED, 7-
    리포트 | 12페이지 | 1,000원 | 등록일 2008.05.24
  • 연세대 전기전자 기초실험 9. 연산 회로 설계 실험 (결과보고서)
    ※ 실험에 사용된 segment 코드module four_bit_ALU(alu_out, data_a, data_b, scode, mcode);input [3:0] scode;input ... 본다면 CCN이 가장 빠르나 여러 가지 조건을 고려해 보면 CSN이나 CSMT이 유용하다는 것을 알 수 있다.④ MAX+PLUS II > Floorplan Editor를 통해서 FPGA의 ... 연산 회로 설계 실험학과학년학번분반실험조성명표 9-4. 4비트 덧셈기/뺄셈기의 진리표입 력출 력Add/SubtractS3S2S1S0오버플로우34+011102-3-01010-42+1110074
    리포트 | 9페이지 | 1,000원 | 등록일 2007.12.30
  • [플립플롭 ] 플립플롭을 이용한 카운터보고서
    다음 페이지의 아래 그림은 이것의 실제 회로도를 꾸민 모습이다.그림 SEQ 그림 \* ARABIC 9 7-SEGMENT의 실제 회로 구성도7-SEGMENT에는 앞의 설명과 같이 애노드와 ... SEGMENT DECODER45113COMMON CATHODEFND7-SEGMENT3COMMON CATHODE저항4.7㏀10330Ω26콘덴서1㎌2점퍼선약간센서광센서BL10M-MFR1투과형광센서BL5M-TFR1미러반사형도면ORCAD도면설계용이론적 ... 로직회로 및 실습교수님 : 이환 교수님학 번 : 99521062이 름 : 정 명 진제출일 : 2003년 5월 30일실 험카운터의 응용(Aplication of Counter)목 적1.7-
    리포트 | 14페이지 | 1,000원 | 등록일 2004.01.24
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    입 출력단자의 정의]입력단자출력단자(7-segment)clk : 클럭 입력(1 bit, rising edge)mode : 모드 변환 입력 버튼(1 bit)clr_bt : 세팅시 클리어 ... )ex_min1 : 분/월 표시 둘째자리(7 bits)ex_min0 : 분/월 표시 첫째자리(7 bits)ex_sec1 : 초/일 표시 둘째자리(7 bits)ex_sec0 : 초/일 ... 설계하려면 그 CPLD/FPGA를 만든 회사가 제공하는 프로그램 이용해야 함어느 회사에서 만든 CPLD/ FPGA에서도 사용할 수 있음계속해서 하드웨어 기술언어의 종류를 살펴보자.
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:50 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대