• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(132)
  • 리포트(113)
  • 자기소개서(18)
  • 논문(1)

"vhdl 디지털시계" 검색결과 21-40 / 132건

  • VHDL로 구현한 디지털시계 (EP1C6Q240C8)
    리포트 | 2,000원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • VHDL을 이용한 디지털 시계 구현
    VHDL을 이용한 Digital Clock 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all ... 두 번째 term project Digital Clock1.
    리포트 | 4페이지 | 1,500원 | 등록일 2009.06.24
  • vhdl을 이용한 디지털시계+스탑워치 설계
    +날짜, 스탑워치) - Set모드 진입 시, Key[3] 누르고 있으면 자동 카운팅State문을 이용하여 총 5개의 모드 구현 (시계 Run, 시계 Set, 날짜 Run/Set ... 기능시계 Run/Set 모드 - 모드 변경키를 이용하여 Run/Set 모드 변경 가능날짜 Run/Set 모드 - 날짜 모드 진입 후 최초 Run 모드 - Key[2]를 누르면 ... , 31일) 윤달 미 지원알람 Run/Set 모드 - 알람 모드 진입 후 최초 Run 모드 - Key[2]를 누르면 Set모드 진입, 계속 누를 시 다시 Run모드 - 시계
    리포트 | 13페이지 | 무료 | 등록일 2012.06.28 | 수정일 2018.05.29
  • VHDL을 이용한 디지털 시계
    ALTERA MAX+PLUS Ⅱ를 사용한 디지털 시계LCD와 7세그먼트로 시간을 표현스탑워치와 알람기능포함도트메트릭스로 시간을 표현핀설정은 다 되어있음
    리포트 | 8,000원 | 등록일 2008.01.15
  • VHDL 디지털시계 최종보고서 시뮬레이션(소스 포함)
    VHDL- 디지털 시계-Term PROJECT5조200##### ###※ 목차 ※1. 작품 선정동기 및 개발 목적/목표2. 개발내용- 소스코드- 시뮬레이션1. ... 그래서 1학기때 많이 보고 경험했던 디지털시계로 의견이 모아졌습니다.이미 한 번 쯤은 경험해 보았지만 처음으로 배운 VHDL에서 간단한 칩으로만 만들었던 회로를 VHDL 방식으로 변환시켜 ... 그것을 응용함으로써 더욱 완벽히 VHDL에 대한 것을 알아 가기 위해 선정을 하게 되었습니다.조원들의 의견을 종합으로 모두 만족했기에 이번 2학기 팀 프로젝트는 디지털시계에 도전하기로
    리포트 | 15페이지 | 1,500원 | 등록일 2013.01.26 | 수정일 2023.03.08
  • VHDL을 이용한 디지털 시계 설계
    Introduction VHDL(VHSIC Hardware Description Language)을 이용하여 디지털 시계를 설계하기 위한 첫 번째 수행 단계로써 7-SEGMENT의 ... 동작원리에 대해서 이해하고 VHDL을 이용한 7-SEGMENT 제어 방법을 익히는 것이 이번 실습의 목표입니다. ... 이 실습 과제를 통하여서 7-SEGMENT의 동작원리를 이해하며, 첫 번째 실습인 만큼 비교적 간단한 VHDL 설계를 통하여 앞으로 있을 복잡한 설계과정에 있어서 도움이 될 수 있는
    리포트 | 25페이지 | 3,000원 | 등록일 2009.02.09
  • VHDL을 이용한 디지털 시계구현 발표자료
    20001741 김응일Digital clock system designCopyright@20001741 김응일 all rights reserved.123System flow chartSource
    리포트 | 22페이지 | 2,000원 | 등록일 2010.12.21
  • VHDL이용, Digital Clock(디지털 시계)및 부가기능(타이머, 알람, 세계시간등)제작
    전자전기컴퓨터설계실험2프로젝트 최종보고서제출일자 : 2013.12.09.일실험주제: DIGITAL CLOCK 설계1. Introduction (실험에 대한 소개)가. ... Essential Backgrounds (Required theory) for this Lab(1)Text LCD- Text LCD를 이용하여 Digital Clock을 구현하시오.시 ... 실험을 통해 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서[실험1]Text LCD를 이용하여 Digital Clock을 구현하시오.- 목차- 이번 설계 실험은 기본기능/부가기능으로
    리포트 | 22페이지 | 5,000원 | 등록일 2014.02.14 | 수정일 2021.08.25
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    VHDL 최종 보고서목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스설계의 목표 무엇을 만들 것인가 ?
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • vhdl을 이용한 FPGA 킷에서의 디지털 시계 구현
    PurposeXilinx 소프트웨어를 이용하여 디지털 시계의 소스코드를 작성한 후, FPGA Kit에 적용하여 실제로 시간이 표시되는지 여부를 확인한다.2. ... Problem Statement① Describe what is the problem.주어진 entity로 디지털 시계를 설계하며, pin할당 역시 주어진 pin table을 참고하여 ... isPort ( rst_n : in std_logic;clk : in std_logic;DIGIT : out std_logic_vector( 6 downto 1 );SEG_A :
    리포트 | 8페이지 | 3,000원 | 등록일 2009.11.12
  • 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • VHDL을 이용한 디지털 시계 제작
    디지털 시스템 설계※ PROJECT 최종 보고서 - Digital 시계 설계※ 목 차 ※1. 개 요(1) 설계목적(2) 계발일정2. ... 따라서 제작한 후 설계 일정에 따라 Quartus II 프로그램을 이용하여 디지털 시계를 제작한다.- 공학인으로서 요구되어지는 프로젝트 설계 능력 향상에 중점을 둔다.(2) 계발 ... 일정< 디지털 시계 설계 일정표 >날 짜9 월10 월11 월12 월내 용CYCLONEPLD 보드제작보드 디버깅Quartus II 이용프로그래밍소프트웨어 디버깅최종 보고서 작성2 .
    리포트 | 19페이지 | 5,500원 | 등록일 2007.04.02
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    느낀점VHDL Source설계 및 구현방법개 요우리주변에서 흔히 볼수있는 디지털 시계 구현Quartus를 이용한 VHDL의 이해카운터와 Decoder를 이용한 디지털시계 동작원리 ... 2008. 12. 12(금)Design of Digital Clock유 진 호20051041박 대 근20041043이 희 락200410755조ContentsSimulation 결과 ... 부분Digital Clockbegin second_gen : process(cl, rst) begin if (rst = '1') then cnts = 0; s_clk = '0';
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 디지털회로 - 시계(VHDL) 사전
    시계제출일실험조이름07-12-03-사전 보고서-? 실험목적이번 실험의 목적은 타이머의 동작원리를 이해하고 설계하는 것이다.? 이론1. 시계? ... 그리고 1kHz÷1000≒1Hz를 이용하여 시계를 동작시키는 기준 클럭을 만든다? ... 아래와 같이 디스플레이되는 시계를 계층 설계 방법을 이용해 아래 회로도와 같이 설계해본다.? "FPGA/CPLD" 내부 블록도 설명?클럭 : 클럭은 1kHz를 사용한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.09
  • VHDL로 만든 디지털시계100%작동
    가. 연구개발의 최종목표 - AM/PM 변환 버튼을 만들어 변하게 하고 LED의 깜박임을 통하여 나타낼 것이다 기본 적으로 시, 분, 초, 100분의 1초를 만들어서 4개의 7세그먼트 창에 구현하고, 모드버튼 을 누르면 4개의 세그먼트가 “시/분“을..
    리포트 | 12페이지 | 1,000원 | 등록일 2007.12.27
  • 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    시계모드 , 디지털 시계설정모드, 알람모드, 그리고 다른 모드들과 동시에 작동하는 음소거 모드가 있다. ... 컴포넌트로는 7segment , key입력 컴포넌트, piezo컴포넌트 dot matrix컴포넌트, lcd컴포넌트 , 디지털 시계 컴포넌트가 있으며 이들을 전부 합쳐 가장 상위의 모듈에서 ... 2.구현 방법 & 결과의 구조 및 구성모드의 구현가장 먼저 모드를 구현하는데 모드는아무것도 안하는 기능을 하는 menu모드 , 문열기 모드 , 도어락 비밀번호 설정 모드 , 디지털
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • [디지털공학]VHDL을이용한 디지털시계
    VHDL Coding & Simulation & Comment①클럭 분주 블록편의상 60㎐를 발진 클럭으로 사용했음library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cloc..
    리포트 | 9페이지 | 1,500원 | 등록일 2005.06.05 | 수정일 2013.11.22
  • vhdl을 이용한 디지털시계(digital clock) 구현
    vhdl을 이용하여 디지털 시계를 구현한 것입니다.총 8개의 세그먼트로 출력되구요.프로그램은 flowrian 이용하였습니다.멕스 플러스 쓰시는 분들은 멕스 쓰셔도 무방합니다.본 자료는 ... 제가 직접 프로젝트 발표하기 위해 성의껏 만든 자료들입니다.디지털 시계의 핵심적인 기능만 넣었습니다.즉, 시계기능, 시계설정기능, 날짜기능, 날짜설정기능...받으셔서 바로 사용하실 ... [txt작성] workspase전체[소스와 시뮬레이션(test bench)한 것까지...모두]모듈의 구성은 시계모듈 시간설정모듈
    리포트 | 41페이지 | 6,000원 | 등록일 2006.12.22
  • 디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)
    개요이번 디지털 시계를 만드는 과제는 디지털 공학2의 최종결정판으로 써 교재(디지털 공학) / 실험 등에서 배운 PLD를 활용하여 만드는 것이다.PLD 는 Programable Logic ... 이것이 Byte Blaster 이다.PLD (Programable Logic Device) :디지털 시계의 머리부분이다. ... VHDL 소스LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; -- 프로그램 시작 초기 선언 --ENTITY
    리포트 | 17페이지 | 1,500원 | 등록일 2007.11.18
  • [디지탈 시스템]vhdl 디지탈시계
    ..PAGE:1디지털 시계 VHDL 코드인터넷 자료ㅋ ㅋ ㅋ..PAGE:2MODE_GENlibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all
    리포트 | 22페이지 | 1,000원 | 등록일 2002.07.03
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:02 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대