• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(132)
  • 리포트(113)
  • 자기소개서(18)
  • 논문(1)

"vhdl 디지털시계" 검색결과 121-132 / 132건

  • 아날로그신호와 디지털신호의 비교
    펄스 형태로 된 모든 데이터 장비의 신호예) 컴퓨터 단말기의 디지털 신호, 숫자 표시 시계등2.vhdl이란VHDL이란 : Very high speed integrated circuit ... 아날로그 신호인 사인파-진폭,파장(주기),위상의 요소를가짐 파장은 한 사이클의 길이로서 주파수(진동수)와 역수관계연속적으로 변화하는 신호예) 사람의 음성 신호, 바늘에 의하여 움직이는 시계등연전대 ... , 스트레인 게이지 등과 같은 센서에서 발생되는 신호들은 디지털로 변경할 수 없는 요소디지털 신호신호 처리를 하는데 전기적인 요소에 의한 왜곡이 없다는 점이고 저장과 재생이 용이 0
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.07
  • VHDL Digital Watch(LCD) VHDL PPT입니다.
    Plan(1/2)1주차(5.19 ~ 25) LCD Interface 자료 수집 VHDL 사이트 정보 수집 Digital Watch 자료 수집(문헌 및 인터넷) 2주차(5.26 ~ ... 6. 1) LCD Interface source code 분석 Digital Watch VHDL source coding Emulation in BoardWork Plan(2/2)3주차 ... (6. 2 ~ 6. 8) VHDL Coding for Additional Functionalities Emulation in Board included Additional Functionalities
    리포트 | 14페이지 | 2,000원 | 등록일 2008.09.28
  • [디지털 시계]알테라(Altera) 를 이용한 디지털 시계 구현
    실험목적⇒ 디지털 시계 구현을 통해 디지털 시스템 설계 능력을 배양하자.⇒ 규모가 있는 실제 응용회로 구현을 통해 simulation & verification의 중요성 이해.2. ... 리가 설계하고자 하는 디지털 시계에서 값을 저장 할 수 있는 소자는 JK F/F 이므로(다음 클럭이 인가되기 전까지 값을 유지하고 있다) 이 소자를 사용한 카운터를 이용하면 쉽게 알람회로를 ... JK F/F을 사용하여 출력값 반전을 이용하여 시계의 멈춤 기능을 하게 된다.
    리포트 | 20페이지 | 1,000원 | 등록일 2005.11.28
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    디지털 시스템 설계를 위한 VHDL 기본과활용. - 박세현, 그린.1998? 디지털공학 및 실습. ... VHDL 코딩 --------------------------------------- 610. ... 이것을 보고 진행자는 굳이 번거롭게 초시계를 일일이 대조할 필요 없는 편리함이 있을 것 같아서 이 기능을 추가하였다.이것으로 컴퓨터구조 텀 프로젝트 보고서를 마치겠습니다.
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • lg히타치최종지원서
    Top Secret'3학년 프로젝트는 VHDL을 이용하여 Spartan Board의 LCD에 시계를 구현하는 것이었습니다. ... 프로젝트를 완성하기 위해 각자 맡을 역할을 어떻게 정할지도 정하게 되었습니다.전 그런 팀원들과 Unstable Multi-vibrator, Cafe Manager Program, Digital ... 하지만, 결국 다른 팀에게 1, 2위를 빼앗기고 말았습니다. 2팀은 인터넷에서 자료도 사고, 시계옵션을 늘린 것입니다. 결과는 허무했고, 그 패배감이 컸습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2011.04.04
  • 7segment
    디스플레이 장치를 사용하는 디지털 시계나 전자계산기에서 발견할 수 있다. ... Digital Logic디지털 논리-7 segment -과목명 : 디지털논리교수님 : 김명규 교수님학 과 : 컴퓨터정보공학과이 름 : 2006122148 송정호제출일 : 2008. ... 05. 19.디지털논리- 7 Segment -/Problem. 7-Segment■ 문제 개요VHDL을 이용하여 7-Segment를 나타내어라.■ 문제분석 및 풀이방법▶개요7-Segment는
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • [Wireless Control Micromouse] 무선 조정 마이크로마우스 with VHDL
    무선 송수신 장치에는 디지털부도 있고 논리처리부도 있습니다. ... ‘0’: 반시계방향10100MotLen'1': On, '0': Off11110MotLdir'1': 시계방향‘0’: 반시계방향01100** speedbit에 맞는 각 단계의 내부clk이 ... 그래서 이번 Project에서 이전의 아쉬웠던 부분들을 보완하고 추가적으로 세밀한 컨트롤 기능을 더하기 위하여 Step모터를 사용하여 보다 정교한 신호제어를 언어로는 VHDL을 그리고
    리포트 | 24페이지 | 1,000원 | 등록일 2007.12.01 | 수정일 2015.07.26
  • [공학]24진 디지털시계
    24진 디지털 시계목 차1. 7세그먼트 2. 24진 시계 논리함수 유도 3. NE555 4. 부가 기능 5. 회로도 6. ... 시계 제작 사진7 세그먼트7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로써 표시기는 막대모양의 LED 7개를 8자 모양으로 배열시키고 각 LED에
    리포트 | 16페이지 | 1,000원 | 등록일 2006.12.11
  • [합격 자기소개서] 한국델파이 전자개발 지원
    그리고 컴퓨터 관련 수업을 들으며 논리 구조에 대한 관심으로 자일링스를 이용하여 매주 신호등, 디지털시계, 벤딩머신 등의 과제를 수행하며, VHDL을 익혔습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2008.05.08
  • [자기소개서]2010년 지금까지 경험하지 못했던 자기소개서 2편
    VHDL 설계 프로젝트에서도 저만의 아이디어를 더한 디지털시계를 만들었습니다.
    자기소개서 | 11페이지 | 3,000원 | 등록일 2010.05.04
  • [디지털] VHDL 강좌11
    프로세서 설계 - IDECALTERA MAX+PLUS Ⅱ를 사용한 디지털 시스템 설계 - 북두 출판사디지털 시스템 설계를 위한 VHDL - IDEC하드웨어 엔지니어를 위한 VHDL ... 그리고 앞으로 계속할 내용을 미리 살펴보면 ALU, FSM(Finite State Machine), VHDL Synthesis에 대한 종합적인 이해, 전자 시계, 그리고 각종 VHDL을 ... 카운터를 잘 활용하면 나중에 전자시계가 간단하게 설계할 수 있을 것입니다. 몇 비트의 상관없이 마음대로 설계할 수 있을 것입니다. 자 그럼 예제로 시작하죠.
    리포트 | 13페이지 | 1,000원 | 등록일 2001.11.11
  • [vhdl] MyVHDL를 이용한 7SEGMENT
    나머지 자세한 사항은 디지털 책을 참고로 하고 여기서는 VHDL로 0~9까지 표시하는 7SEGMENT를 설계하여 보겠다. ... 흔히 BCD Code를 표시하는데 많이 이용된다. 7SEGMENT의 핀 명칭은 맨 위의 LED를 a로 시작해서 시계방향으로 b, c, d, e, f 그리고 한 가운데를 g로 표시하는게 ... File->New를 선택해서그림 소스 파일 작성VHDL을 선택하거나 ( 그림 2에서 두 번째의 VHDL FILE), File 메뉴 밑의 아이콘 (종이에 반짝이 마크가 있는 아이콘)을
    리포트 | 7페이지 | 1,000원 | 등록일 2002.11.27
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대