• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(132)
  • 리포트(113)
  • 자기소개서(18)
  • 논문(1)

"vhdl 디지털시계" 검색결과 41-60 / 132건

  • vhdl을 이용한 디지털 시계.(연습문제 풀이. Digital systems : principles and applications)
    제작.■ 목 표● VHDL을 이용 동기식 카운터를 이용한 디지털 시계를 설계.● VHDL의 코드를 이해하고 다루는 법을 익힌다.■ 설계 방향.VHDL을 이용한 설계로 시, 분, 초를 ... 트 제 목 / 목 표-3-■설계 방향/필요성-3-■프로젝트진행계획-4-■VHDL코드/시뮬레이션-5-■연습문제 풀이-10-■결과 및 고찰-13-■ 제 목 : VHDL을 이용한 디지털시계 ... VHDL을 이용한 디지털시계 설계/연습문제풀이CHR3조장 :32042085박 제 우32001756임 정 근32032130이 윤 재32032164정 우 현목 차■목 차-2-■프 로 젝
    리포트 | 13페이지 | 2,500원 | 등록일 2008.02.20
  • [디지탈 시스템]vhdl 디지탈시계 소스
    디지탈시계 제가 실행시킨 소스 파일입니다.여러개의 파일 압축해서 올립니다.
    리포트 | 1,000원 | 등록일 2002.07.03
  • xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    시계의 구성가.XILINX를 이용한 7-SEGMENT시계의 개념도나.VHDL을 통한 소스 분석3.결론※ 7-SEGMENT를 이용한 시계구현 목적XILINX칩과 7-SEGMENT 모두 ... 디지털로 작동하며 같은 5V로 동작하기 때문에 실질적 모델로 구현하기 쉽고, 디지털 회로의 가장 기본인 카운터를 이해하는데 시계가 가장 적합하다.1. 7-SEGMENT의 이해가. ... XILINX를 이용한 7-SEGMENT 시계의 개념도SystemClock시 간10의 자리DIGIT6Select 6SystemClock분초1의 자리10의 자리1의 자리10의 자리1의
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • [마이크로프로세서] max+2 vhdl로 구현한 디지털 시계
    DIGITAL CLOCK1. 시계의 기능 및 작동원리2. 키트에서의 조작법3. ... 알람 기능▲ 시계의 작동원리우선 기본 클럭으로 시계가 작동을 하게 됩니다.각 모드는 앞에 영어로 표시를 해주었습니다. ... 기능 및 작동원리▲ 시계의 기능1.
    리포트 | 30페이지 | 5,000원 | 등록일 2004.10.05
  • [전기전자전파공학전공]VHDL으로 디지털 시계 만들기 - 카운터
    서론- 설계 목적① Digital clock의 동작 원리를 이해한다.▷ 디지털 시계 개요주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 ... 정보통신공학부프로그램 명전파공학 프로그램성 명김영훈학 번20021100교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만 (교수님)제 목Digital ... 설계 결과 및 검증① VHDL 소스로부터 나온 타이밍도 - 별첨
    리포트 | 11페이지 | 1,000원 | 등록일 2005.12.10
  • vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
    여러가지 기능을 포함한 디지털시계 소스입니다컴파일 이상없구요맥스 플러스II돌려야합니다~vhdl로 만듬
    리포트 | 50페이지 | 3,500원 | 등록일 2007.12.08
  • [회로설계]VHDL로 구현한 LCD(시계표현) 및 세그먼트(알람시각)를 이용한 디지털 시계
    JDF E// Created by ISE ver 1.0PROJECT lcd_seg_watchDESIGN lcd_seg_watch NormalDEVKIT xcs10-3pc84DEVFAM spartanFLOW FPGA Express VHDLMODULE count25.vhd..
    리포트 | 1페이지 | 3,500원 | 등록일 2003.12.08
  • [디지털시스템]디지털 시계VHDL Code 구현 및 설명 (스톱위치, 시간세팅, 시간) & MAX PLUS 2 사용법 설명,
    - DIGITAL WATCH SYSTEM 의 버튼 별 역할 및 기능 설명. - DIGITAL WATCH 설명 및 VHDL CODING 및 각 CODE 별 설명. ... - DIGITAL WATCH VHDL CODING 에 대한 결과 SIMULATIONDIGITAL WATCH SYSTEM VHDL CODE 일부.-- 1] TIME_MODE PART ... DIGITAL WATCH SYSTEM 설계 - DIGITAL WATCH SYSTEM 의 설명 및 상태도 설명.
    리포트 | 23페이지 | 1,500원 | 등록일 2006.01.13
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 표현한다. ... 이러한 이유로 BCD코드를 8421코드라고도 부른다.(5) BCD to 7 segment Decoder세그먼트 디스플레이는 디지털 카운터와 시계 등에서 숫자를 표시할 때 자주 사용된다 ... 실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    디지털논리회로실험결과 보고서[3주차]실험 3. Decoders and Encoders1. ... 디지털 회로설계 교재의 내용과는 달리 소자의 입력과 출력이 모두 active low라는 점에 유의할 필요가 있다. ... [그림10]과 같이 FND_DATA 신호들 사이에 330Ω의 저항을 연결해야 7-segment가 안정 적으로 동작한다는 것과 74LS47의 출력 핀이 시계 방향 순서대로 7-segment의
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • NH 투자증권 지원 자소서
    , KEIL3 등 C 기반의 다양한 프로그램을다루어 보았고 전자시계, 엘리베이터, 틱텍톡 프로그램을 직접 설계도 해 보았습니다.4학년 때는 종합설계 대회에서 팀 출전하여 MBA2440 ... 보드를 이용하여 디지털 액자를구현하였고 08년도 국민대 종합설계 대회 학장상, 인기상을 수상하였습니다.졸업 후에는 삼성전자 비메모리 사업부에서 ETCH PART 공정 엔지니어로 2년간 ... 프로그램을개발하거나 관리하는 역할을 하려고 합니다.이를 위한 업무를 수행하기 위해 저는 전자공학과를 졸업하였고 공학인증을 이수하였습니다.설계 과제를 통해서 C언어, 비쥬얼 베이직, VHDL
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.11.01
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    VHDL)의 기본 구문과 기능을 학습합니다. ... 예를 들어, 동기 신호를 사용하여 시계 신호에 따라 동작하는 회로를 설계하거나, 비동기 신호를 사용하여 이벤트에 응답하는 회로를 설계할 수 있습니다. ... 예를 들어, Verilog 또는 VHDL과 같은 HDL 언어의 구문과 규칙을 숙지하여 회로를 효과적으로 작성할 수 있어야 합니다.※ 학사 수준에서는 HDL 언어(예: Verilog,
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 2023상반기 현대자동차 R&D 합격 자소서
    . ※ 석사과정자는 연구경력 및 세부 전공에 대해 기술해 주십시오.1) 디지털 시스템 설계 A+ : VHDL을 이용해 디지털 시계 entity와 내부 아키텍처 개발을 한 학기 간 수행했습니다 ... 발생하는 에러들을 word파일로 정리하여 동일 에러 발생 시 빠르게 해결 했습니다.2) 디지털 회로실험 A0 : 한 학기동안 FPGA구조를 설계했습니다. ... 이는 향후 디지털 회로 설계 과정을 이해하는 중요한 기반이 되었습니다.이 같은 전공 과목 수강내역을 통해 얻은 H/W 설계 역량은 분명 내부 도메인 아키텍처 개발 업무에 도움될 것입니다
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    시스템 설계 A+VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다. ... 준비하는 것처럼 저 역시 공작기계 및 자동화 시스템 제어 전문가로 함께 성장하고 싶습니다.지원 직무와 관련하여 본인이 지금까지 준비한 사항과 보유하고 있는 경험을 기술하세요.1) 디지털 ... 하드웨어 설계 시 일어날 수 있는 timing 이슈에 대한 문제해결 역량을 길렀습니다.2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    [표 1] 디지털 시계에서 구현할 기능2. 실험 이론2.1. ... 이 디지털 시계는 [표 1]의 필수 동작과 선택 동작을 구현해야 하며, 실제 장치에 연결하여 소스코드의 내용과 디지털 시계의 동작 일치 여부를 확인한다.1)Text LCD를 사용2) ... 실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 23년 상반기 한화파워시스템-전기제어 합격자소서
    구체적인 사례와 경험을 들어 기술하여 주십시오.1) 디지털 시스템 설계 A+VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다. ... (How(요가링 시범) Result(참여율 높임))2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    배경이론(Background)1)digital clockFPGA를 이용하여 실습하고, 이 과정에서 7segment를 이용하여 숫자를 나타내게 된다. ... VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데, 각 자리 숫자가 나타나는 시간 간격이 매우 짧아(50us) 우리 눈에는 동시에 모든 자리 숫자가 연산 ... Source & Results1)VHDL Source2)Testbench Source3)Result wave이번 실습에서는 클럭수가 많기 때문에, 값이 바뀌는 부분들을 확대하여 기록하였습니다
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 23년 상반기 현대일렉트릭(전기설계) 합격자소서
    지원 직무에 요구되는 역량은 무엇이라고 생각하며, 이를 갖추기 위해 어떠한 노력을 해왔는지 기술해 주십시오.디지털시스템 설계 수업에서 3개월간 디지털시계 제작 프로젝트를 진행하여 설계점수 ... 100점과 A+ 학점을 취득하였습니다.처음엔 VHDL 언어를 사용 경험이 없었기에 막막했지만, 한번 하기로 한 일 1등 해보자는 의지를 다졌습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • [전기실험]디지털 공학 실험 레포트 1장(문제풀이)
    PAL, GAL, SPLD, VHLD, CPLD, AHDL, FPGA, VHDL, AHDL이다.28. 다음은 각각 무엇을 나타내는가? ... (a)는 새김눈이 있는 곳을 기준 바로 왼쪽 1번 핀이 있고 반시계방향으로 부여하면된다. ... (b)는 새김눈이 중앙에 있고 그 중앙에서 1번을 부여후 반시계방향으로 부여하면된다.1-6절 시험 및 측정 계측기25.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.04.20
  • 2023상반기 LG전자 합격 자소서
    이는 소자에 대한 이해를 바탕으로 가전제품의 소모전력을 고려해 설계하는 업무와 관련된다고 생각합니다.2) 디지털 시스템 설계 A+VHDL을 이용해 디지털 시계 entity와 내부 아키텍처 ... 이는 회로 검증 시 나타나는 각종 이슈를 찾아 해결하는 엔지니어 업무에 꼭 필요하다고 생각합니다.3) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 ... 제 다음과 같은 역량을 발휘해 '일등제품' 개발에 기여하며 LG전자의 경쟁력 강화에 보탬이 되고자 지원했습니다.저는 아날로그 회로실험, 디지털 시스템 설계 등 학부 수업에서 다양한
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대