• 통큰쿠폰이벤트-통합
  • 통합검색(132)
  • 리포트(130)
  • 자기소개서(2)

"VHDL코드 보고서" 검색결과 61-80 / 132건

  • VHDL 프로젝트 두더지게임기 구현
    알집파일엔 보고서와 프로그램 실행파일이 있고 프로그램이 깔려있다면 바로 실행가능합니다.보고서코드소스와 소스설명 , 핀할당, 기능설명, 동작사진, 고찰이 있습니다. ... 두더지 게임 VHDL 코드 입니다. 사용한 프로그램은 Quartus2입니다. ... 파일이름을 변경하실 경우 소스코드에 ddz 라고 되어있는 부분도 함께 변경해야 컴파일됩니다.)
    리포트 | 9,000원 | 등록일 2016.11.03 | 수정일 2017.11.15
  • 디지털 도어락 설계 코드, 보고서 ,ppt
    1) FPGA 실습키트를 활용하여 디지털 도어락을 구현한다. 2) Switch 입력과 FND 및 LED 출력을 위한 VHDL 코드를 작성한다. 3) 디지털도어락 동작을 위한 내부 상태머신을 ... 설계 및 구현하고, 상태 전환이 설계 사양대로 동작함을 확인한다. 4) 디지털 도어락을 VHDL 코드를 이용하여 구현하고, 이를 Modelsim으로 검증하며, FPGA 실습 키트에
    리포트 | 5페이지 | 4,000원 | 등록일 2016.05.31 | 수정일 2021.12.08
  • 결과보고서 #10 - 순차회로 설계 (FSM)
    전자전기공학과학 년 : 3반 & 조 : A반 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 5. 13논리회로설계 실험 결과보고서 ... 실험 목표순차회로의 일종인 FSM의 일종인 밀리머신과 무어머신의 개념을 이해하고 이를 이용해 실생활에서 쓰이는 자판기를 VHDL 코드를 이용하여 설계해볼 수 있다.2. ... 아래 상태도와 같은 자판기 알고리즘을 VHDL을 이용해 설계한다.(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A4_KYK_KYS
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
    이번 주에는 저번 주에 설계했던 binary code와 gray code를 참고하여 어떤 특정한 문자열이 나왔을 때 출력을 하는 회로를 상태도와 상태표를 그려서 설계해보고 이를 코드로 ... 과 목 : 논리회로설계과 제 명 : 결과보고서 8담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 291. ... 동기 작동한다.4)DIP Switch를 통한 mode 선택-1 bit 입력이 필요하기 때문에 DIP_SW을 mode선택 핀으로 사용한다.(2)어떻게 이 회로를 구성할 것인가1) VHDL
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 5담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 81. ... 나타내고 있는 모습이다. input a가 “00111001”이기 때문에 7 segment는 39로 출력된다.(5)Provide the simulation result and the code1 ... 이렇게 하면 소스 코드 입력까지 완료되었다.다음으로 VHDL 소스를 Synthesize와 Implementation를 해야한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 결과보고서 #1 - 기본 게이트 설계
    조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4조학 번 : 2011311307, 2011이 름 : 김영관, 김윤섭제 출 일 : 2015. 3. 18논리회로설계 실험 결과보고서 ... 하지만 전에 배웠던 C언어와 비슷하게 진행되는 모델링 코드 작성 방법 덕분에 쉽게 진행될 수 있었고, 조교님의 친절하신 도움으로 편하게 진행할 수 있었던 것 같다. ... 실험 목표VHDL의 기본개념과 프로그래머블 로직의 형태를 이해하고 이를 통해 기본 게이트를 설계할 수 있다.2. 실험 결과실험 1.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 결과보고서 #12 - RoV Lab3000 실습
    전자전기공학과학 년 : 3반 & 조 : A반 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 5. 27논리회로설계 실험 결과보고서 ... 실험 목표- Rov Lab3000을 이용해 스텝모터와 적외선 센서의 사용방법을 익히고 직접 VHDL 코드를 FPGA에 이식하여 구동을 시켜본다.2. 실험 결과- 실험 1. ... cnt
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 논리회로실험 - 제 2장 가산기 및 감산기 결과 보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 1담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 21. ... [그림 2] 1비트 전가산기2)(2)어떻게 이 회로를 구성할 것인가1) VHDL 코드를 구성하는 기본 설정(1)전반적인 내용-FA 4개를 이용한 4비트 가산기/감산기를 설계한다.-2 ... [그림 5] 가/감산기 계산 결과 (2진법)이론값과 결과값이 일치한다는 것을 확인할 수 있다.(5)Provide the simulation result and the code1) 가
    리포트 | 10페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로설계실험 반가산기전가산기설계 결과보고서
    논리회로설계 실험 결과보고서 #2실험 2. 조합 회로 설계-전가산기실험목표전가산기의 동작을 이해하고 진리표를 작성해 본다. ... 최종적으로 동작적 모델링, 자료 흐름 모델링 그리고 무엇보다도 구조적 모델링 방식의 VHDL 표현방식에 대하여 이해할 수 있었다. ... 소스 코드 작성에서 입력(이진수1, 이진수2, 입력 자리올림수)는 (X, Y, C_in)으로 출력(합, 출력 자리올림수)은 (S_out, C_out)으로 설정하였다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험 기본게이트설계 예비보고서
    논리회로설계 실험 예비보고서 #1실험 1. ... 이후 테스트 벤치 코드를 작성하여 시뮬레이션을 해보아 AND, OR 게이트의 작동에 대하여 알아본다. ... 동작적 모델링 (Behavioral Modeling)회로의 내부 구조 대신 회로가 무엇을 수행할 것인지에 대한 기능을 기술하는 VHDL의 표현 방법이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로실험 - 제 9장 KIT의 출력방식 중 하나인 LCD display 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 9담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 6 / 31. ... 일단 webpack을 실행시키고 VHDL module을 생성한다. 구성한 코드를 입력한다.다음으로 VHDL 소스를 Synthesize와 Implementation를 해야한다. ... ---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code
    리포트 | 22페이지 | 1,000원 | 등록일 2014.08.15
  • 기본 게이트 설계 결과보고서
    모델링자료 흐름 모델링3) 테스트 벤치 코드4) Wave Form5) 결과 분석- 예비보고서에서 수기로 작성했던 OR 게이트 코드VHDL에서 시뮬레이션을 돌렸다. ... ) 테스트 벤치 코드4) Wave Form5) 결과 분석- 예비보고서에서 수기로 작성했던 AND 게이트 코드VHDL에서 작성하여 시뮬레이션을 돌려본 결과 입력을 2개로 갖는 AND ... 진리표를 보고 동작적 모델링과 자료 흐름 모델링으로 작성하시오.1) 진리표2) 소스 코드동작적 모델링자료 흐름 모델링3) 테스트 벤치 코드4) Wave Form5) 결과 분석- 예비보고서에서
    리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • 반가산기 & 전가산기 결과보고서
    코드2) 테스트 벤치 코드3) Wave Form(4) 결과 분석- 예비보고서에서 작성했던 코드VHDL에서 작성하여 시뮬레이션을 돌려본 결과 전가산기의 진리표를 만족하는 결과가 ... 설계2) 테스트 벤치 코드3) Wave Form(4) 결과 분석- 예비보고서에서 작성했던 코드VHDL에서 작성하여 시뮬레이션을 돌려본 결과 진리표를 만족하는 결과가 나타났다. ... : 논리회로설계실험과 제 명 : 기본 게이트 설계담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 4. 3논리회로설계 실험 결과보고서
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
  • 04-논리회로설계실험-예비보고서
    김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 1논리회로설계 실험 예비보고서 ... 실험 목표2 bit의 코드를 받아서 N bit의 2진 코드로 해독하는 디코더와 N bit의 2진 코드를 받아 2 bit로 부호화 하는 회로를 VHDL을 이용하여 설계할 수 있다.2. ... - 해독기, 엔코더의 역동작- N비트로 된 2진 코드는 서로 다른 정보2 ^{N}개를 표현할 수 있다.- 디코더는 입력선에 나타나는 n비트 2진 코드를 최대2 ^{N}가지 정보로 바꿔주는
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 결과보고서 #8
    전자전기공학과학 년 : 3반 & 조 : A반 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 29논리회로설계 실험 결과보고서 ... 고찰(1) A4_김영관 : 순차회로의 개념을 알아가면서 예비보고서에서 작성해보았던 단순한 레지스터는 매우 간단한 로직으로 작성할 수 있었지만 이번 실험에서는 여러 종류의 레지스터를 ... 선언을 해주었다 그 다 음으로 riging clock에서 동작을 하는 코드와 동작을 수행할 때 S값에 따라 선택된 동작을 수행 할 수 있도록 if 문으로 나눠 각각의 상황에 따라
    리포트 | 5페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 논리회로설계실습 순차회로(카운터) 결과보고서
    논리회로설계 실험 결과보고서 #8실험 8. 순차회로 설계 - 카운터1. ... 분석해 보면 동작적 모델링 VHDL 표현방식을 사용하여 설계 하였다. ... 최종적으로 작성한 소스코드와 실제 하드웨어 동작이 일치하는지 확인하고 소스코드가 올바르게 작성되었는지 확인한다.2.
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.10
  • 결과보고서 #5
    전자전기공학과학 년 : 3반 & 조 : A반 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 15논리회로설계 실험 결과보고서 ... 사실 이번 예비보고서에서 소개된 문법인 함수와 프로시저를 사용하지 않는 mission이라 단순히 기능표에 적혀있는 대로 케이스를 나누면 되었기 때문에 매우 간단한 mission이였다 ... C언의 함수선언과 비슷한 것 같았다.
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    논리회로설계 실험 결과보고서 #5실험 5. 조합회로 설계 - 비교기, MUX, ALU1. ... 그 후 process문을 사용하여 동작적 모델링 VHDL 표현 방식으로 코드를 작성하였다. case문을 사용하여 각각의 기능 선택 비트의 경우에 따라 수행하는 연산을 출력 Y값으로 ... 따라서 concatenation(&)를 사용하여 A_in과 B_in의 가장 왼쪽 비트에 ‘0’을 이어 붙여 덧셈 연산을 하도록 코드를 구성하였다.
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 03 논리회로설계실험 결과보고서(병렬가산기)
    논리회로설계 실험 결과보고서 #3실험 1. 정류회로1. ... 코딩1) 소스코드2) 테스트 벤치 코드3) Wave Form4) 결과 분석이번엔 VHDL을 이용하여 병렬 가산기를 설계하였다. ... 반파 정류회로 및 피크 정류회로(1) schematic & 모듈화1) Full Adder 회로2) 8비트 병렬 가산기3) 테스트 벤치 코드4) Wave Form5) 결과 분석8비트
    리포트 | 5페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 실험5. Decoder & Encoder 예비보고서
    실험 5 예비보고서교육목표정보통신대학 교육목표정보통신대학은 수요지향적 교육을 바탕으로 국제 경쟁력과 전문성 및 실용성을 갖춘 고급 정보통신 엔지니어의 양성을 목표로 하고 있다. ... 보통 독립형의 집적 IC회로에 쓰이고 VHDL 이나 Verilog같은 하드웨어 언어 수단으로서 복잡한 IC회로에서 합성되기도 한다. ... SW의 입력이 1이면 U2C만 1을출력해 D3만1이된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대