• 통큰쿠폰이벤트-통합
  • 통합검색(128)
  • 리포트(92)
  • 자기소개서(35)
  • 이력서(1)

"VHDL 설계과제" 검색결과 81-100 / 128건

  • VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트
    서론수업시간에 배운 VHDL언어를 사용하여 우리 주변에 쓰이는 단일 엘리베어터를 설계해본다. 정원초과, 응급버튼, 엘리베이터이동에 중점을 두고 엘리베이터를 구현2. ... 하지만 여러 가지로 쉽지 않은 과제였습니다. 문을 빨리 닫고 문을 천천히 닫는 부분도 추가를 하려고 하였지만 어려워서 포기하게 되었습니다. ... 이번 프로젝트로 인해서 수업시간에 배운 내용들을 좀더 복습할수 있었고 나아가 VHDL이라는 언어에 대해서도 조금더 이해할 수 있었던 좋은 기회였던 것 같습니다.
    리포트 | 19페이지 | 5,000원 | 등록일 2011.12.17
  • [합격자소서]2018,CHA 차의학전문대학원 자기소개서
    결과적으로 실현 가능성과 방향성에서 높은 점수를 획득하여 과제를 따내게 되었습니다. ... 그리고 시교육청에서 열린 과학축제에 참가하여 ‘혈중 pH 농도 측정하기’ 프로그램을 성공적으로 운영하며 한층 성장할 수 있었습니다.학부 시절에는 과목 프로젝트로 VHDL 언어를 이용한 ... 개발된 제품이 상용화되기 위해서는 인체 영향에 대한 규제가 있기 때문에 공학적인 설계 기법에 많은 제한 사항이 생기되고, 저는 이러한 어려움을 극복하기 위해 관련 논문을 찾아보며 새로운
    자기소개서 | 6페이지 | 30,000원 | 등록일 2018.06.09 | 수정일 2024.01.02
  • xilinx를 이용하여 4bitsadder 와 4bits comparator 만들기
    참고문헌131.소개(1)실험 목적Full adder와 Half Adder를 VHDL코드로 작성하여 M bits 가산기와 비교기를 설계해 시뮬레이션 해보고 Spartan-3e보드에 실제 ... 하지만 실험시간에 조교님께서 따로 내주신 과제를 수행하는데는 큰 어려움이 있었다. dataflow에 대한 정확한 개념이 서있지 않아서 큰 어려움이 있었다. ... 전자전기컴퓨터실험설계 IIPostlab report제 목제출날짜이 름목 차1. 소개31.1. 실험 목적31.2. 이론배경32.예비실험42.1. Assingment 142.2.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.07
  • 할리 갈리 회로설계
    복잡한 논리식때문에 VHDL로 구현하는 것이 걱정되w} ... 과제에서 제일 어려웠던 부분은 주제를 선정하는 것이었다. ... 이를 개선할 수 있도록 실제로 VHDL로 구현할 때에는 플립플롭 외에 En/Decorder라던가 RAM/ROM을 이용하여 간소화할 수 있는 방법을 찾아보아야 겠다.
    리포트 | 47페이지 | 2,000원 | 등록일 2012.10.17
  • BCD to EXCESS-3 CODE CONVERTER
    첫번째 과제에서는 BCD코드를 입력하면 설정된 EXCESS-3 코드가 출력되도록 하며 두번째 과제에서는 클럭값을 넣고 밀리형식을 따라 EXCESS-3코드의 값을 받아온다. ... ISE는 유저가 코딩한 VHDL이나 Verilog 또는 SCH를 Netlist로 만드는 과정을 수행하도록 지원한다. ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • 2-port Nand,Xor, 3-port AND gate의 설계
    3주차 과제1. ... VHDL 설계에서 외적 변수를 나타내는 것으로 신호가 흐르는 선(Wire)으로 표현되는 것이다. ... 공저, 2006년, 성안당 ② 디지털 시스템 설계를 위한 VHDL의 기본과 활용, 류장렬 외 6인 공저, 2005년, 광문각)3.
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • VHDL을 이용한 IR리모컨 구현및 시뮬레이션과 데모
    2010년 12월 전자공학종합설계과제(2) 설계최종보고서IR remote-con Transceiver최아랑 전자공학과 20721767( Choi Ah Rang 20721767 )요 ... 이번 설계에서는 TC9012포맷 형식을 따르도록 한다.가. TC9012의 Format 형식설계에 사용할 format형식을 나타내면 위의 그림과 같다. ... VHDL Code 및 Simulation가. Core나. Input, Output다. Top Block2. DemoⅣ. 결론Ⅴ. 참고문헌Ⅰ.
    리포트 | 11페이지 | 4,000원 | 등록일 2010.12.27
  • VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... 초기화 ■ 시 ● 1시간 카운트 발생시 1시간씩 증가 ● 12:59:59 초 다음은 1:00:00으로 12시간제 적용 2) Describe how you solved 이번에 주어진 과제
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • [11주차] LCD
    과제7조 ( 유광위 / 박석호 )0. ... 과 목 : 논리회로설계실험과 제 명 : Digital Clock 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - 7차 실습 ... VHDL Source------------------------------------------------------------------------------------------
    리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • 반가산기(Half Adder)와 전가산기(Full Adder)의 설계
    4주차 과제반가산기 (Half-Adder)와 전가산기 (Full-Adder) 설계1. ... )에 대한 이론을 배우고 예제로 반가산기(Half Adder)를 VHDL로 구현해 본다. ... VHDL로 구현 후 Test Bench로 Simulation시켜 결과가 바르게 나오는지 확인한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • Digital clock chip - SELECTOR & DIVIDER Blocks
    Purpose이번 실습에서는 digital clock chip 에 있어 사용되는 SELECTOR와 DIVIDER BLOCK을 VHDL설계한다.2. ... 이 두 개의 코드를 작성한 후 각각을 테스트 벤치 코드를 이용하여 시뮬레이션 해본 후 앞에서 했던 과제들의 코드를 가져와 DIGITAL CLOCK이라는 전체적인 코드를 시뮬레이션 해본다 ... ALARM에서는 ALARM을 설계하고 FOUR_TO_ONE에서는 각 모드에 따라 어떤 값들이 출력될 것인지 선택하게 된다.
    리포트 | 11페이지 | 1,500원 | 등록일 2011.06.06
  • VHDL을 이용한 디지털 시계 설계
    이 실습 과제를 통하여서 7-SEGMENT의 동작원리를 이해하며, 첫 번째 실습인 만큼 비교적 간단한 VHDL 설계를 통하여 앞으로 있을 복잡한 설계과정에 있어서 도움이 될 수 있는 ... Introduction VHDL(VHSIC Hardware Description Language)을 이용하여 디지털 시계를 설계하기 위한 첫 번째 수행 단계로써 7-SEGMENT의 ... VHDL 코딩에 있어서 설계해야 할 부분은 우선 입력 4bit에 따라서 출력 7bit를 어떠한 방식으로 할당할 것인가를 결정해야 하며, 적절한 방법을 결정한 후 Truth Table에
    리포트 | 25페이지 | 3,000원 | 등록일 2009.02.09
  • VHDL을 이용한 Function Generator 구현(PC Function Generator) 고급 디지털 회로 설계
    [ 2008 고급 디지털 회로설계 Project #1 ] - Function Generator1. ... 설계 spec.① PC mode- 8254 setting 등을 통해 PCFG의 전체적인 동작 환경을 결정하고, USB를 통해 사용자가 읽어드린 data를 SRAM에 저장하거나, 이미
    리포트 | 24페이지 | 1,000원 | 등록일 2012.03.18
  • VHDL를 이용한 농구전광판 구현
    VHDL을 이용하여 Training KIT의 LCD와 7-Segmaent를 이용하여 농구 전광판을 설계한다. ... Problem Statement- Describe what is the problem. - 농구 전광판 PROJECT 프로젝트 내용은 농구 전광판의 기능을 설계하는 과제로서 모든 기능은 ... - 전체적인 구조를 이해하여 농구 전광판을 설계한다. 이 프로젝트를 통해 종합적인 설계방법을 익힌다. - 키트를 이용하여 실제 값이 제대로 나오는지 확인한다.
    리포트 | 33페이지 | 5,000원 | 등록일 2010.05.27
  • [VHDL] 7_Segment를 이용한 타이머 및 다양한 동작 (설계)
    과제 개요이번 설계과제를 통해 구현 해본 것은 타이머입니다.실습시간에 배운 Switch, LED, 7_Segment 등을 이용하여 구현하였습니다. 0 ~ 9까지의키패드로 숫자를 입력받고 ... 과제 개요? 한 학기 동안 배운 VHDL을 충분히 복습하여본다.? 실습기간동안 배운 것 외의 기능을 사용하여본다.? ... VHDL을 통해 7_Segment를 이용한 타이머 및 다양한 동작을 구현해본다.2.
    리포트 | 25페이지 | 1,500원 | 등록일 2010.12.14
  • 현대다이모스 자기소개서
    학교에서 수행한 프로젝트 VHDL을 이용한 CPU 설계, 영상처리, 리눅스 등, 모두 어렵다고 꺼리는 과목들이었지만 저는 할 수 없다는 생각보다는 일단 시작하고 보자는 생각으로 수강하였고 ... 주어진 일이나 과제가 아무리 어려워도 일단 시작하고 보는 저의 성격은 이 명언 때문이라 해도 과언이 아닙니다. ... 공부나 설계를 함에 있어 풀리지 않는 부분이 있으면 그 한 문제로 밤을 지새우곤 하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2016.04.05 | 수정일 2018.05.16
  • vhdl을 이용한 디지털 시계.(연습문제 풀이. Digital systems : principles and applications)
    제작.■ 목 표● VHDL을 이용 동기식 카운터를 이용한 디지털 시계를 설계.● VHDL의 코드를 이해하고 다루는 법을 익힌다.■ 설계 방향.VHDL을 이용한 설계로 시, 분, 초를 ... VHDL 언어의 사용 방법 습득- VHDL을 이용한 회로 설계 방법에 관한 필요한 사항을 개인별로 조사 후 종합 / 사용법 공유? ... 트 제 목 / 목 표-3-■설계 방향/필요성-3-■프로젝트진행계획-4-■VHDL코드/시뮬레이션-5-■연습문제 풀이-10-■결과 및 고찰-13-■ 제 목 : VHDL을 이용한 디지털시계
    리포트 | 13페이지 | 2,500원 | 등록일 2008.02.20
  • 특정 조건에 맞는 순서논리회로를 설계하고 이 설계한 회로를 VHDL로 표현하라.
    앞의 결과와 같은 파형을 출력하는 것을 확인 가능하다.결 론(Conclusion)• 이번 과제는 지난 과제6에서 설계한 회로를 VHDL로 직접 구현해 보는 것이었다. • 처음에는 별로 ... 1개 이상, 상태 수 5개 이상, 출력 1개 이상 • 설계한 회로를 바탕으로 이를 VHDL로 표현하시오. • 설계VHDL 코드를 시뮬레이션하고 그 파형을 확 인하시오.개 요 (Outline ... 결론(Conclusion)개 요 (Outline)• 문제 제기 : 우리 주변에서 순서논리회로를 이용하 여 설계될 수 있는 놀이를 생각해 보고 설계하여 보시오. • 조건 : 외부입력
    리포트 | 36페이지 | 8,000원 | 등록일 2010.06.24
  • xilinx를 이용한 플립플롭(Flipflop)과 레지스터(Register)의 설계
    9주차 과제순차회로-플립플롭, 레지스터설계1. ... 설계 배경 및 목표• D 플립플롭과 레지스터의 정의와 특성을 알고 이해한다. • reset과 enable핀이 있는 D 플립플롭을 VHDL로 어떻게 구현하는지 알아보고 실습하며 시뮬레이션으로 ... 실험시간 전 VHDL관련 서적을 통해 buffer는 입 출력 신호에 사용할 수 있다는 사실을 숙지하여 앞에서 했었던 2개의 경우와는 다르게 출력으로 out이 아닌 buffer를 사용하여
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • [12주차] Calulator
    과 목 : 논리회로설계실험과 제 명 : 계산기 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 :이 름 :제 출 일 :논리회로설계실험 - 계산기 설계 과제7조 ( 유광위 ... VHDL Source------------------------------------------------------------------------------------------ ... Purpose지난시간에 배운 LCD를 활용하여 감가산기의 설계를 해본다.
    리포트 | 20페이지 | 2,000원 | 등록일 2012.06.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:07 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대