• 통큰쿠폰이벤트-통합
  • 통합검색(128)
  • 리포트(92)
  • 자기소개서(35)
  • 이력서(1)

"VHDL 설계과제" 검색결과 101-120 / 128건

  • xilinx를 이용한 ROM, RAM설계
    12주차 과제Memory설계 – ROM, RAM1. ... Read/write address와 4-bit 입출력을 가지는 RAM을 VHDL설계한다. • 설계한 RAM과 ROM을 시뮬레이션 해보고 ROM은 ROM table의 결과가 모두 ... ROM을 VHDL설계한다(ROM table은 임의로 작성). • Clock의 rising edge에서 동작하고 동기 enable, write enable기능을 갖고 4-bit
    리포트 | 17페이지 | 1,500원 | 등록일 2010.06.24
  • xilinx를 이용한 FPGA실습
    14주차 과제FPGA 실습1. ... 토 의이번 실습은 VHDL을 이용하여 FPGA를 설계하고 그 결과를 RoV-Lab 3000을 이용하여 확인하는 것이었다. ... 설계 내용 및 방법2.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.06.24
  • vhdl 수업지도안
    다음을 VHDL을 이용하여 설계하시오자신의 노트에설계하여 제출한다.ppt자료컴퓨터빔프로젝트개별문제해결과제1. 전가산기를 VHDL을 이용하여 설계하시오다음시간까지제출한다.개별문제해결 ... 수업지도안(제 4 차시) 수업지도안대 상직업전문학교 학생수업주제VHDL 기본설계일반목표VHDL을 이용하여 간단한 조합논리 회로를 설계할 수 있다.(지적기능)수업목표1. ... VHDL의 특징은?2. VHDL을 이용한 기본설계 2단계는?3. 식별어의 규칙은?4. 자료형의 종류는?강의법문답법평가수행평가6분1. 다음을 VHDL을 이용하여 설계하시오2.
    리포트 | 4페이지 | 1,000원 | 등록일 2008.05.09
  • 두산중공업 (대졸신입) 합격 자소서입니다.
    VHDL을 사용한 전자시계 반도체를 설계, 소프트웨어개론에서는 C language과 자료구조를 사용한 미로 찾기 프로그램, 전자회로 증폭기 설계, 전동기 제어 등 모두 문제만 해결하면 ... 평소의 아이디어와 열의가 눈에 띄어 교수님께서 저희 팀에게 수업의 개선 방안을 과제로 주셨습니다.저희는 모든 학생들이 듣고 싶어하는 창의적 사고 훈련 수업에 목표를 두고 어떻게 하면 ... .497.5자자신만의 가장 창의적이고 재치 넘치는 방안으로 문제를 해결한 경험을 기술하고, 그 과정에서 부딪쳤던 어려움을 어떻게 극복하였는지 기술하시오.프로젝트 문제해결 능력논리회로설계에서
    자기소개서 | 2페이지 | 3,000원 | 등록일 2012.09.17
  • VHDL-Final Project Digital Clock 만들기 <- A+
    회로를 설계 한다.우선적으로 1조에서는 Debouncing code를 우선적으로 VHDL 코드로 작성해 보았다.이 Debouncing Code의 원리는 입력된 값을 일정 시간(짧은 ... 실험 결과 분석 및 토의이번 프로젝트 과제는 상당한 시간을 요구했다. 우리 1조는 처음에 디자인에 대한 Pre lab을 작성하고 그것을 토대로 손쉽게 코드가 작성될 줄 알았다. ... 스위치가 한 번 올라가게 되면, 카운트가 1증가하게 되지만, 더 이상의 카운트는 하지 않게 설계를 하였다.
    리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • [공학기술]VHDL 예제와 풀이 (디지털 및 아날로그 설계 및 응용)
    과제1) 16개의 key를 입력받아 각각의 key에 대응되는 LED를 on/off하는 하드웨어를 설계하시오. 이 때 사용되는 언어는 VHDL이다. ... 또한 짝수 Key 즉, 0,2,4,6,8,.....등을 누를 때는 해당 LED가 ON되고 반대로 Key를 떼면 해당 LED가 OFF되도록 VHDL을 이용하영 하드웨어를 설계하는 과제이다 ... VHDL 설계%%%%도표 및 그림 첨부%%%%%%%%%%- KEY_0~KEY_F 까지 포트 설정을 하여 입력으로 하고, LED1~LED16 까지를 출력으로 설정하였다.
    리포트 | 7페이지 | 1,500원 | 등록일 2007.07.06
  • 로직웍스를 이용한 논리회로 구현
    시뮬레이터 요약이번 과제에 사용한 프로그램은 Logic Works 5 로 로직웍스에서 제공하는 소자로는 74시리즈, 입출력 및 전원 관련 소자, 아날로그 소자, 디지털 게이트, 조합회로 ... , 스파이스(Spice) 회로, VHDL(VHSIC Hardware Description Language)등을 사용할 수 있다. ... 실험 1: 기본 논리게이트 설계 및 구현3. 실험 2: 불 대수와 드로르간의 정리 설계 및 구현4. 실험 3: Exclusive-OR 게이트 설계 및 구현5.
    리포트 | 42페이지 | 1,500원 | 등록일 2010.04.28
  • 현대중공업 (대졸신입) 합격 자소서입니다.
    저 역시 정확한 상황분석에 의한 문제해결을 좋아하며 많은 전공프로젝트에서 그런 능력을 키웠습니다.논리회로설계 프로젝트에서 VHDL을 사용한 전자시계 반도체를 설계, 소프트웨어개론 프로젝트에서는 ... 평소의 성실함과 열의가 눈에 띄어 교수님께서 저희 팀에게 창의적 사고 훈련 수업의 개선 방안을 과제로 주셨습니다. ... C language과 자료구조를 사용한 미로 찾기 프로그램, 전자회로 증폭기 설계, 전동기 제어 등 모두 문제만 해결하면 된다는 생각을 버리고 어떻게 해결하느냐가 중요하다고 배운
    자기소개서 | 2페이지 | 3,000원 | 등록일 2012.09.17
  • 멀티플렉서(MUX)와 비교기(Comparator)설계
    7주차 과제멀티플렉서(MUX)와 비교기(Comparator)설계1. ... 먼저 8X1 MUX는 2X1 MUX VHDL파일을 만들어서 component, port map구문을 사용하여 8X1 MUX에 불러와서 설계하였다. component가 구조적으로 어떻게 ... 설계 배경 및 목표이번 7주차 실습은 멀티플렉서(Multiplexer)와 비교기(Comparator)를 설계하는 것이다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • VHDL 설계실습 - 카드 인증 자물쇠 설계
    VHDL 설계실습카드 인증 자물쇠 설계(1)먼저 수식과 카노맵으로 표현한다.X가 1즉 door1이 열릴 조건은 다음과 같다.ABCDE*************000010000011001001000001100100111000010101101000000 ... Y'회로도로 표현하면 다음과 같다.과제의 조건에서 회로는 2,3,4 input nor gate 와 inverter만을 이용하여 설계하여야 하고 그 합이 19 이하가 되어야 한다는 조건을
    리포트 | 5페이지 | 1,000원 | 등록일 2008.03.27
  • Vending Machine 설계
    VHDL source codinglibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL
    리포트 | 7페이지 | 10,000원 | 등록일 2009.05.27
  • 4-bit 전가산기(Full Adder)설계와 2의 보수를 이용한 감산기 설계
    5주차 과제4 bit 전가산기(Full-Adder)와 2의 보수를 이용한 감산기 설계1. 설계 배경 및 목표1. ... VHDL를 이용하여 지난 주에 실습한 전가산기(Full-Adder)를 토대로 4bit 전가산기와 2의 보수를 이용한 감산기를 설계하고 결과값 중 5쌍을 시뮬레이션하여 그 결과를 확인한다 ... 토의저번 시간에 설계한 Full Adder를 component에 연동하여 회로를 설계해 보았다.
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.24
  • Capstone Design
    완료과제의 결과물(1) 결과물(주) 설계도(회로도), 시작품 등 결과물을 표시하고 전시 가능한 결과물의 형태가 무엇인지 설 명, 사진첨부 (VHDL 소스)설계도(회로도)시제품만능보드에 ... 과제 개발 후 제작에 따른 기대개선효과(4) 과제 개발의 추진방법2. 완료 과제의 결과물설계도(회로도)시제품그림 3. ... receiver/transmitter)를 설계한다.(2) 과제수행 과정① HBE-COMBO 트레이닝 키트 구입 후 간단한 논리회로 설계② UART 소스 분석③ 한백전자를 방문하여 FPGA를
    리포트 | 23페이지 | 8,000원 | 등록일 2007.01.09
  • lg전자SW최종지원서
    특히 소프트웨어 시스템 과목에서 개별 설계과제를 진행하며 2달의 기간 동안 데이터베이스와 프로그이를 통해 Visual C++의 MFC 기반으로 Cafe manager라는 윈도우용 응용프로그램을 ... 또한 임베디드 시스템의 전문성도 키울 수 있게 되었습니다.본인의 가장 큰 실패 경험에 대하여'Top Secret'3학년 당시 설계 프로젝트는 VHDL을 이용하여 Spartan Board의 ... 또한, ABEEK공학인증프로그램에 참여하여 캡스톤 설계, 소프트웨어시스템설계, 창의공학 설계 등, 여러 설계 과목에서 프로젝트를 진행하며, C, C++, Code vision 등의
    자기소개서 | 5페이지 | 3,000원 | 등록일 2011.04.04
  • 2010하반기 DSME 이공계(서류합격 자소서)
    이러한 목표를 달성하기 위하여, 대학에서 전자공학을 전공하면서 Pspice, Matlab, VHDL, Labview, Psim, C언어, JAVA언어 등을 바탕으로 다양한 프로젝트를 ... 이는 다른곳에서 학습한적도 없었고, 이전까지 교내에서 제작했던 사례도 없었기 때문에, 과제를 하나하나 해결해 나가야 되었기에 더 많은 노력이 요구되었지만, 열정을 바탕으로 적극적으로 ... 대해 학습 및 설계회로이론_3학점_B+ : 회로 분석 및 설계에 대해 학습전자회로_3학점_B+ : 전자회로의 분석 및 설계에 대해 학습[창의성:90점] '주어진 틀에 안주하지 않으려는
    자기소개서 | 2페이지 | 3,000원 | 등록일 2010.12.17 | 수정일 2022.02.20
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    VHDL 최종 보고서목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스설계의 목표 무엇을 만들 것인가 ? ... 11 월 9 일까지 : 소스코드 작성 11 월 16 일까지 : 부품 및 시장조사 11 월 23 일까지 : 회로 구성 11 월 30 일까지 : 실험 및 평가 12 월 7 일까지 : 과제
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • [디지털논리회로]Digital clock design
    설계 결과 및 검증Quartus_II Wave form file- VHDL 소스로부터 나온 타이밍- Block diagram 소스로부터 나온 타이밍- 두 결과 검증 및 비교4. ... 마지막 4차 과제를 통하여 3학년 때, 시계를 많을 때도 많은 도움이 될 것이라는 확신과 생각을 가지게 되었습니다. ... Simulate the designed circuit- VHDL- Block diagram3.
    리포트 | 10페이지 | 1,500원 | 등록일 2006.06.21
  • [공학]16비트 컴퓨터 설계 보고서
    이번 설계는 지금까지 다루어왔던 VHDL 설계 과제들과 비교할 때 상당히 복잡한 과정의 설계이다. 그렇기 때문에 교재의 내용에 충실하여 설계에 임한다. ... 하지만 RAM의 설계에 대한 도움을 얻기 어려웠다. 그래서 참고 자료로 사용하던 “VHDL의 기본과 활용”을 통해 설계 방법을 알아낼 수 있었다. ... 이번 설계 과정 중 사용하는 coding의 source는 2학년 과목인 디지털 시스템 설계의 "Digital Logic and Microprocessor Design with VHDL"을
    리포트 | 23페이지 | 5,000원 | 등록일 2007.06.21
  • GS칼텍스 (대졸신입) 합격 자소서입니다.
    프로젝트에서 VHDL을 사용한 전자시계 반도체를 설계, 소프트웨어개론 프로젝트에서는 C language과 자료구조를 사용한 미로 찾기 프로그램, 전자회로 증폭기 설계, 전동기 제어 ... 평소의 아이디어와 열의가 눈에 띄어 교수님께서 저희 팀에게 창의적 사고 훈련 수업의 개선 방안을 과제로 주셨습니다.저희는 모든 학생들이 듣고 싶어하는 창의적 사고 훈련 수업에 목표를 ... 복무 2년 통역병으로 할 수 있어 실력을 쌓았습니다.지금까지 해오던 방식에서 벗어나 새로운 관점에서 일을 추진했던 경험에 대해서 작성해주십시오. 800자프로젝트 및 문제해결논리회로설계
    자기소개서 | 4페이지 | 3,000원 | 등록일 2012.09.17
  • 2010하반기 LG전자 이공계(서류합격 자소서)
    설계 제작하는 기회가 있었습니다. ... 그 결과 다소 불가능해 보이던 무선탐사로봇을 설계 제작하기로 하였습니다. ... 남들보다 한발짝씩 더 움직이는 이러한 적극적인 열정으로 해결해야 할 과제들을 조금씩 해결해 나가고, 필요한 장비의 지원도 얻어 낼 수 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2010.12.17 | 수정일 2022.02.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대