• 통큰쿠폰이벤트-통합
  • 통합검색(157)
  • 리포트(152)
  • 자기소개서(5)

"vhdl 신호등 s" 검색결과 21-40 / 157건

  • 논리회로설계실험 라인트레이서 레포트
    관련 기술 및 이론1) 적외선 센서의 원리센서란 물리량이나 화학량 등을 전기적 신호로 변환해주는 장치를 말한다. ... 순차회로에서 설계한 분주기 설정, finite state machine 설계 등이 linetracer를 설계하는데 많이 사용될 수 있었다. ... 설계 배경 및 목표1) 설계 배경지금까지 여러 VHDL표현 방식에 대해서 배우고 그에 따른 여러 조합회로와 순차회로를 설계하였다.
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 논리회로실험) 7 segment 프로젝트 1 예비
    같은 컴퓨터 모니터에서 사용되는 RGB 정보를 TV에서 수신할 수 있는 아날로그 형태의 NTSC 방식의 신호로 변환하여 주는 장치로 사용된다.(3) 7 segment- 7세그먼트 ... 그러다가 저번 수업 때 VHDL에서는 elseif가 아닌 elsif라는 것을 기억해 내어 가까스로 해결을 할 수 있었다. ... 비록 VHDL은 C언어와 유사한 부분이 있어서 혼동이 갔고, 저번에 분명 배웠음에도 활용할 기회가 없어서 체감하지 못해 금방 잊었었던 것 같다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • SoC 보고서 - 4.8051
    컴포넌트RAM의VHDL 코드임. ... CPU/MCU를 직접 설계하지는 않지만, 신호 전달 방식, 주기, 동작 구성, 타이밍도 등을 알지 못하면 자신이 설계한 로직과 연결했을 때 예상치 못한 동작을 하거나 아예 동작을 하지 ... ROM에는 이미 작성된 hex파일을 넣어서 동작하도록 한다.8051 VHDL코드는 그림1-D-3에서 볼 수 있듯이 다수의 VHDL코드를 연동해서 작성한 것으로 상위 계층과 하위계층으로
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    동안 리셋신호를 출력한다. reset신호sw신호는 각각 옆의사진에서 두 줄 실선과 점선이다.클록 신호 csec ... 분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다. Count라는 variable을 범위를 설정하여 준다. ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그 이후 A, B의 입력신호를 다르게 하며 LED의 점등을 관찰하였다. ... en.wikipedia.org/wiki/Adder_(electronics)Stephen Brown, Zvonko Vranesic/Fundamentals of Digital Logic with VHDL ... Exp#5.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 도쿄일렉트론코리아(TEL) 자소서
    양자 암호 관련 실험에서 나온 데이터들을 통신 거리와 신호 크기 등의 변수들을 통해 나타나는 오류들을 파악했습니다. ... VHDL과 FPGA를 이용하여 사칙연산 계산기를 제작한 적이 있습니다. ... 아우르는 다변화된 포트폴리오를 구축하고 있고, 특히 3D NAND의 성장으로 인해 식각/증착 기술력과 시장 지배력이 확고한 TEL의 성장 가능성에 주목했습니다.그 중 FE는 장비 set-up과
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.07.05
  • 디지털시스템실험 2주차 예비보고서
    연산자Verilog의 연산자는 산술 연산자, 관계 연산자, 논리 연산자, 시프트 연산자 등이 있다. ... 왼쪽 Instance 창에서 LAB01_INTRODUCTION_TB를 선택하고 마우스 오른쪽 버튼을 눌러 Add Wave 항목을 선택하여 Wave 창에 TestBench 입, 출력 신호를 ... Verilog는 산업 및 학계에서 하드웨어 설계자에 의해 사용되는 두 주요한 HDL(Hardware Description Languages)중 하나이고 다른 하나는 VHDL(VHSIC
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    이러한 이유로 BCD코드를 8421코드라고도 부른다.(5) BCD to 7 segment Decoder세그먼트 디스플레이는 디지털 카운터와 시계 등에서 숫자를 표시할 때 자주 사용된다 ... 또 비밀 대화 장치(스크램블러)를 사용한 전화 등에서 다른 형식으로 변형시켜 보내온 음성 신호를 원래의 형태로 되돌리는 것도 디코더이다.’4to 10 decoder디코더와는 반대로 ... 실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • SoC 보고서 - 1.동기통신(PS2)
    먼저 data 신호가 LOW가 되고 clk를 전송한다. 그래서 순차적으로 start bit부터 시작한다. data는 SCAN한 code를 사용한다. data는 LSB먼저 보낸다. ... 그 원인을 알아보는 과정에서 VHDL 문법적인 부분과 시뮬레이션 과정에 대해 더 많은 공부를 할 수 있었다. ... 통신 대상마다 동작 속도, 클록 수 등이 다르기 때문에 원활한 송수신을 위해서는 사전에 전송 속도를 정의하는 등 프로토콜을 정해야 한다.직렬 통신은 두 통신 매체의 데이터 전송선을
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • multiplexer(멀티플렉서) VHDL 실습보고서
    마찬가지 방법으로 4-1, 8-1, 16-1 등을 만들어 낼수 있으며, 입력값이 개 일 때, 이 중 한가지를 선택하기 위해서는 n비트의 select값이 필요하다. ... 그 외에도 여러 전자기기에서 source를 선택하여 원하는 것을 출력하거나, 원하는 신호만 받는 필터링 역할도 가능하다. ... 즉, 방송을 맞추기 위한 튜너가 multiplexer의 selection에 해당하고, 여러 개의 신호중에 하나를 선택할 수 있게 된다.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    S와 R의 신호를 사람이 직접 주입하면, 큰 문제는 없지만, 대부분 전자제품이나 기계에 의해 자동 주입이 되는 경우가 많으므로, S = 1, R = 1 인 경우가 발생할 가능성이 ... 현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2]2) verilig의 요소의미모듈 : 보통의 프로그래밍 언어에서 ... 그 종류는 다음과 같다.[3]3) S-R래치 S-R 래치의 기본 동작방식은 S(Set)과 R(Reset) 그리고 상태유지이다.S = 1, R = 1에서 사용불가라는 것은 출력 Q와
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 논리회로설계실험_디코더/엔코더 레포트
    ;를 WHEN 앞이나 ELSE뒤에 붙이지 않는 것에 주의해야한다.자료흐름적 모델링 (선택적병행신호처리문)with sel select 구문에서 sel부분에 연산자를 쓸 수 없다. ... 실험 목표디코더와 엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을 ... 논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1.
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • Design Flow of a Digital IC 요약
    이때 컨트롤러의 설계도 이 과정에 포함된다.- 이러한 과정을 통해 응용프로그램의 동작(실제 데이터 및 제어 신호가 지나는 경로)이(가) 결정된다.- GAUT, C2S, CyberWorkBench ... , LegUp 등의 tool을 사용한다.RTL/Logic synthesis phase (레지스터 전송 수준/논리 합성 단계)- 이 단계에서는 FPGA나 CPLD(complex PLD ... , RTL을 논리회로, 스위치, 저장 요소의 net-list와 사상(寫像)한다.- ISE, Quartus 등의 tool을 사용한다.Physical design/Layout synthesis
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 논리회로실험 반가산기 전가산기
    실험 목표반가산기와 전가산기에 대해 알아보고 반가산기의 진리표와 논리식을 작성하고 그에 따른 논리회로를 그리고 자일링스 프로그램을 사용하여 VHDL언어로 동작적, 자료흐름, 구조적 ... 실험시간에는 이번에 작성하였던 반가산기를 이용해 전가산기를 작동하게 할 텐데 반가산기를 2개 작성하는 등 더욱더 복잡해지기 때문에 쉽지않은 실험이 될 것 같다. ... 입력신호 전압의 덧셈을 출력하는 디지털 회로도 있는데 이를 가산회로라고도 부른다.(2) 반가산기반가산기는 컴퓨터 내에서 2진 숫자를 덧셈하기 위해 사용되는 논리회로의 일종이다. 2개의
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 시립대 전전설2 A+ 2주차 예비레포트
    표현 가능- 입출력 논리 간의 관계 및 동작을 기술- 시뮬레이션 및 검증대표적인 HDL- VHDL: Very High-speed Integrated Circuits HDL (IEEE ... (아래 그림의 빨간 선 부분)wire W1, W2, W3;assign 문과 bitwise operator로 입출력 신호를 연결한다.assign W1 = A^B;assign W2 = ... /full_adder 폴더 아래 [실습4]에서 했던 source file들이 모두 들어있는지 확인한다.
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    베릴로그의 게이트 수준의 회로 모델링에는 and, nand, or, nor, xor, xnor 등의 논리 게이트가 사용되며 0과 1로 구성된 두 개 이상의 입력과 하나의 출력을 가진다 ... 현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2]2.verilig의 요소의미모듈 : 보통의 프로그래밍 언어에서 사용되는 ... 포트는 물리적으로 볼 때, 장비에 케이블이나 플러그를 연결하는 특별한 외부 단자라고 볼 수 있고, 전기적으로 볼 때에는 외부 단자를 이루는 전도체들 사이의 신호 전송을 제공하는 역할을
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    Source & Results1)VHDL Source1-1)Lcd_display1-2)lcd_test1-3)data_gen2)TestBench source3)Result wave7+ ... 예를 들어, 마트의 계산대에서 바코드를 찍었을 때 화면에 표시되는 품목과 가격을 나타내는 소형 display나 정육점의 저울에 표시되는 LCD등을 들 수 있다.그림3. ... LCD_D에는 데이터 값이 출력되며, 내부신호w_enable_reg값이 w_enable로 출력된다.,2-1) data_genprocess(FPGA_RSTB, CLK)reg_file배열에
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    주로 멜로디 등의 소리나 경고음을 표현할 때 사용하며, 음성 주파수 대의 펄스 신호를 입력하여 해당 주파수의 소리를 출력하게 한다. ... 베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... 수정진동자를 이용한 발진 회로를 통해 만들어진 매우 높은 주파수 (예시로 32.768㎑)의 전기신호를 낮은 주파수 (예시로 1㎐)의 신호로 변환하기 위해서도 사용된다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • [전기실험]디지털 공학 실험 레포트 1장(문제풀이)
    PAL, GAL, SPLD, VHLD, CPLD, AHDL, FPGA, VHDL, AHDL이다.28. 다음은 각각 무엇을 나타내는가? ... 디지털 데이터는 아날로그 신호와는 달리 잡음(雜音)의 영향을 거의 받지 않는다.2. 온도나 소리 이외에 아날로그 양의 예를 들어라.시간, 거리, 압력3. ... 직렬 8μs , 병렬 1μs14. 클럭의 주파수가 3.5 GHz이면 주기는 얼마인가? T=1/f=0.286ns1-3절 기본 논리 연산15.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.04.20
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... 중요한 차이점은 wire는 값을 저장하지 않지만 reg는 감지신호 변화에 의해 할당값이 변하기 전까지는 그 값을 계속 저장하고 있다는 것이다.5. ... 생성된 “xc3s200-4pq08” 디바이스를 우클릭하여 new source를 누른다.3. source type은 verilog modu 5’D3 : decimal number 3이
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:52 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대