• 통큰쿠폰이벤트-통합
  • 통합검색(157)
  • 리포트(152)
  • 자기소개서(5)

"vhdl 신호등 s" 검색결과 81-100 / 157건

  • Digital System Design VHDL(디지털 시스템 디자인 VHDL)
    주로 연산자 , 함수 등으로 표현한다 . ... 디지털 시스템Counter with Enable Logic 설계 클럭신호의 상승 에지에서 EN 신호의 논리값이 ‘ 1 ’ 일때는 카운터로 동작하며 , ‘ 0 ’ 일때는 현재의 값을 ... 시스템이 내부적으로 어떠한 하드웨어적인 구조를 가지는지에 상관없고 , 오로 지 진리표 등으로 표현된 상관없이 똑같이 우선순위 .
    리포트 | 53페이지 | 3,500원 | 등록일 2011.11.08
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    12진 카운터, 10진 카운터, 6진 카운터를 구성해본다.6진 카운터 구현현재상태다음상태S2S1S0S2S1S*************010011011100100101101000110xxx111xxx상태도 ... 아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 등이 ... , 녹색 1개저항 (330Ω) 73개Data SheetDM74LS47DM74LS90DM74LS90DM74LS04JK 플립플롭실제 구현한 디지털 시계 회로참고 서적최신 디지털 공학 VHDL
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • VHDL을 이용한 디지털시계설계
    디지털시계의 VHDL CODE 및 결과분석(1) 한자리 10진수를 7-Segment 출력으로 디코딩하는 구문library ieee;use ieee.std_logic_1164.all; ... VHDL을 이용한 디지털시계4. VHDL Code5. 실험 결과 및 분석6. 실험방법(트레이닝 키트)7. 결론 및 느낀점1. ... 신호 Eo를 만들어 출력해주어야 한다.● Eo 출력신호 제작방법앞에 그림의 빨간 테두리를 보게 되면, 초를 나타내는 부분이 50초를 넘어서면서부터 초부분의 6진 카운터는 최상위 값
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • Orcad를 이용한 PCB 설계
    Bias point로 설정한 경우->바이어스 포인트 데이터를 계산하고 output 파일을 출력-입력신호 만들기-a.디지털 논리레벨 입력신호 만들기source 라이브러리에서 stim1이라는 ... 초에서 논리 1b.디지털 고정 논리레벨 입력신호 만들기pwr탭에서 source라이브러리 안에 있는 $D_HI또는 $D_LO선택. high값과 low값이 나온다.c. clock신호원 ... VHDL 형식 : 하드웨어 표현 언어로 나타내는 네트리스트 형식d.
    리포트 | 8페이지 | 1,500원 | 등록일 2013.05.26
  • VHDL를 활용한 EE ATM 설계하기
    디지털 신호로 용하여 실제 액정 구동전압을 액정에 인가하는 역할을 하며, gate driver는 화소에 데이터 전압이 인가될 수 있도록 화소의 TFT를 ON 시켜주는 scan 신호를 ... main, step motor, tft lcd, input password 함수 구현, 최종 수정날짜내용1주차(11/12~11/18) - 전체적인 메인함수를 VHDL을 통해 설계2주차 ... E : LCD에 제어 명령이나 데이터를 쓰거나 읽는 등의 동작을 하려면 이 핀이 1이 되어야 한다.
    리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • BCD to Excess-3 Code Conveter
    Dl 표현은 문장단위로 동작이 병행됨으로 하드웨어적인 동작을 가장 잘 표현한다.VHDL의 회로 설계 구조VHDL의 대략적인 구조- Entity : 회로의 Input, Output등을 ... VHDL code를 확장시켜 logic equation으로 표현하는 Dataflow model code로 구성해 보고 functional/timing simulation을 수행, board에 ... 출력 ex3를 입력이 들어올 때마다의 값을 정의하여 주고 있다.simulation을 위한 VHDL Test Bench file을 생성한다.Pin Assignment한다.Behavioral
    리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • Structural & Behavioral 32bit Adder 구현을 통한 VHDL 코딩 분석
    주로 연산자나 함수 등을 사용해 표현되며, 병행 신호 할당문에 의해 기술된다.2) Process문a. ... 과정-VHDL로 32bit adder를 behavioral, structural로 각기 구성-timing simulation을 통하여 두 결과를 비교·분석.4. ... VHDL Code1) behavioralLIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY adderp32
    리포트 | 8페이지 | 2,000원 | 등록일 2010.09.20 | 수정일 2016.12.04
  • VHDL을 이용한 산술연산회로설계
    컴퓨터의 CPU를 구성하는 부분의 하나로 덧셈 · 뺄셈 · 곱셈 · 나눗셈의 사칙연산, AND · OR · SHIFT 등의 논리연산을 하는 장치. ... VHDL을 이용한 산술연산회로설계 B반 5조 2009312075 차승현 2013. 04. 16 Introduction 6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu로 ... Booth ■ 주어진 entity 및 코드를 사용하여 booth multiplier를 설계한다. ■ Reset(rst) 신호를 통해 각 시그널들을 초기화한다.
    리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • Traffic Light Controller
    동작상태를 확인하여Simulation 결과와 동작상태를 비교 분석한다.▶ VHDL과 COMBO를 통하여 디지털 논리 회로 설계에 대해 공부한다.1.2 신호등 작동 원리▶ 신호등은 ... 작동 시키는 main module이며 주 기능을 수행▶ segment횡단보드 신호등의 남은 시간을 15~0까지 count하는 역할을 수행▶ LED횡단보드 신호등의 남은 시간을 LED ... 기본 시스템을 설정light_direction의 값이 방향을 지정해 줄 때 그 상황에 맞는 방향의 신호등의 불이 들어 올 수 있도록 해주는 부분이다.▶ segment- 횡단보드 신호등
    리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • Counters.
    IntroductionCounter의 동작에 대해 알아보고 VHDL코드로 작성합니다. ... 수를 세는 방법(up counter, down counter), 수를 세는 주기(이진 카운터, 십진 카운터) 등으로 나눌 수 있습니다.Asynchronous counters(비동기식 ... )공통된 신호 없이 flip-flop이 서로 직렬 연결되어 앞 단계의 출력이 다음 단계의 flip-flop을 구동하는 카운터입니다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.11.02
  • FPGA를 이용한 모니터 출력 프로그램 레포트 설계
    h_sync와 v_sync신호를 받아 모니터 부분에 출력 책에 있는 Horizontal and Vertical synchronizaion signals timing diagram을 ... 그동안 여러 가지를 배우고 VHDL 프로그램을 작성했었다. ... 다음 FPGA 키트에 다운로드후 모니터 출력 확인- 출력된 모니터 문자표현등 오류 파악과 보완할 점 등 세부표현 디버깅4.설계위 그림을 보고 column과 row을 겹치는 부분에
    리포트 | 9페이지 | 3,000원 | 등록일 2010.08.08 | 수정일 2023.02.06
  • 정보응용실험- PROCESS 문, Sequential 문 VHDL
    ◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈* PROCESS 문, Sequential 문 (7주차) 레포트* Process 문Process 문은 일반적으로 VHDL에서 ... 신호 할당에 관한 문제가 아주 중요한데 그 이유는 process 문 내에서 심볼 “ 실행문_1;when 조건문 2-1 | 조건문 2-2 => 실행문_2;when 조건문 3-1 to ... Sequential 문에서 반복처리를 위한 경우 사용하는 문장으로 어떠한 조건이 만족할 때까지 반복하는 문장이며 (1)무한반복, (2)변수 범위만큼 반복, (3)조건이 참일 때까지 반복 등
    리포트 | 5페이지 | 2,000원 | 등록일 2013.06.08
  • VHDL을 이용한 교통신호
    #교통신호기의 동작➀ 신호등의 표시 전환- 주어진 신호 및 보행자 신호를 표시하되 남➙서➙동➙북 순으로 전환.➁ 주행 신호- 주어진 시간에서 2초를 뺀 만큼 녹색 주행신호가 표시되며 ... , 나머지 2초는 황색 대기신호 표시➂ 보행 신호 - 3초 동안 녹색 보행신호를 표시. - 주어진 시간에서 2초를 뺀 시간까지 1초간 녹색 보행 신호가 점멸 ... - 나머지 2초는 적색의 보행금지 신호 표시 ➃ 점멸 신호- 점멸 버튼능 누를 경우 모든 황색 신호가 1초의 주기로 점멸.- 녹색 보행신호도 1초의
    리포트 | 8페이지 | 1,500원 | 등록일 2006.11.10
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    지금까지 7-segment 여러개를 이용하여 0~999 카운터 만들기, led켜기, dot-matrix 등을 만들어 왔다. ... 프로젝트 목표- VHDL에 프로그램을 작성하여 다수의 7segment로 디지털 스톱워치를 만들 수 있다.4. ... 능력을 기를 수 있으며 키트의 세그먼트 활용과 클락 신호를 다루는 능력을 기를 수 있을 것이다.2.
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트
    하지만 자판기나 신호등은 1, 2 학년때 많이 설계를 해봐서 논리를 가지고 다른 제품을 만들어보고 싶었습니다. 그러던 도중에 문득 승강기가 생각이 나서 설계를 계획하게 됐습니다. ... 명엘리베이터 설계담당교수제출일2011-12-17팀 원학 번이 름수행과제개요과제 목적및해결하고자 하는 내용수업시간에 배운 내용을 가지고 무엇을 만들까 회의를 하던도중 나온것들이 자판기나 신호등 ... 서론수업시간에 배운 VHDL언어를 사용하여 우리 주변에 쓰이는 단일 엘리베어터를 설계해본다. 정원초과, 응급버튼, 엘리베이터이동에 중점을 두고 엘리베이터를 구현2.
    리포트 | 19페이지 | 5,000원 | 등록일 2011.12.17
  • xilinx를 이용한 FSM설계
    . • 3-state Mealy 상태도의 VHDL Modeling Example을 참조하여 그림 과 같은 4-state Mealy 상태도를 VHDL로 설계한다. • 결과 Simulation ... 기존에 3-state VHDL 코드가 나와있어서 4-state를 나타내는 데는 크게 무리가 없었다.5. ... 제어기는 FSM으로 규정하며 FSM 에 대한 동작 표현은 state diagram 또는 ASM(Algorithm State Machine) chart 등으로 표현 - FSM의 구성에
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • [VHDL] Entity, Architecture, VHDL, Process
    동작 특성이라고 하는것은 일반적으로 spec., datasheet, idea등이 될수 있다.즉, 종래에는 어떤 기능블럭을 설계할때 AND,OR,MUX,F/F등을 이용하여 회로를 구성하였으나 ... 대응하기 위해 만들어낸언어이다.4) 기타 CDL,DDL,ISP,PMS등 교육용과 회사 내부용으로 여러가지가 있다.③ VHDL의 특징1) 표준화된 HDL : 표준화라는 말은 누구나 ... 아래그림에서와 같이 나타난 신호의 흐름은 다음과 같다.in : 신호가 해당 엔터티로 입력되는 경우에 사용된다.out : 해당 엔터티에서 신호가 출력되는 경우에 사용된다.inout :
    리포트 | 4페이지 | 1,500원 | 등록일 2009.05.04
  • FLIP-FLOP의 동작원리를 VHDL로 확인한 예비
    전자전기컴퓨터설계실험2Postlab ReportMUX & DEMUXIntroductionFlip-Flop의 종류, 동작 특성 등을 알아 보고 VHDL code로 구성하여 실제로 구현해 ... 왜냐하면, 모든 시스템의 내부 동작은 8bits, 16 bits, 32bits 등의 병렬로 처리되지만, LAN등의 중장거리 통신에서는 직렬 신호로 통신이 이루어지기 때문이다. ... Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.Level trigger & edge trigger0과
    리포트 | 8페이지 | 1,500원 | 등록일 2010.04.25
  • VHDL-Pre lab - Mux and DeMUX
    Case문 뿐만이 아니라 with select 문 when else 문 등 여러 VHDL 코드 표현법을 자유자재로 배우게 되는데, 여기서 각 구문을 사용할 시에 유의 점을 반드시 알고 ... 출력신호는 Active low로 으로 이를 이용해 VHDL code 작성 및 Simulation을 구현해 보도록하자.3. ... S0 = 1 selects input A1 도 성립한다.- write VHDL codes< with selct 구문 이용한 VHDL code>이번 실험에서 조교님이 교안에 나온 Dataflow
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • 전자회로 플립플롭(flip - flop)
    , 동작 특성 등을 알아 보고 VHDL code로 구성하여 실제로 구현해 본다. ... 왜냐하면, 모든 시스템의 내부 동작은 8bits, 16 bits, 32bits 등의 병렬로 처리되지만, LAN등의 중장거리 통신에서는 직렬 신호로 통신이 이루어지기 때문이다. ... Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.Level trigger & edge trigger0과
    리포트 | 15페이지 | 1,500원 | 등록일 2010.04.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:53 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대