• 통큰쿠폰이벤트-통합
  • 통합검색(157)
  • 리포트(152)
  • 자기소개서(5)

"vhdl 신호등 s" 검색결과 101-120 / 157건

  • VHDL-Pre lab - FF and S-P conversion !! (A+리포트 보장)
    직렬신호로 통신이 이루어 진후 시스템의 내부동작에서는 8비트, 16비트, 32비트 등의 병렬로 처리되어야 한다.* parallel-to-serial병렬에서 직렬의 변환회로이다. ... 이와 같은 과정으로 Q(6) 신호는 Q(7) 신호로 전달이 이루어진다.5-3> write VHDL codes고생 끝에 만든 소스 코드이다. ... Design an 8-bit등– 순차논리회로의 예• 계수기 (Counter), 레지스터 (Register), 플립플롭 등.• 기본 구성 회로– 래치(Latch)– 플립플롭(flip-flop
    리포트 | 19페이지 | 2,500원 | 등록일 2009.06.29
  • 디지털논리회로 설계 프로젝트 보고서
    이때 Component문이 각각의 기능을 하도록 제작된 VHDL File전체를 다른 VHDL File에서 사용 할 수 있도록 해주는 기능을 한다. ... Seven Segment는 4개의 2진 입력을 받아들여 Decoding을 통하여 총 7개의 LED가 점등 되어 10진수를 표현 한다. 7개의 LED가 점등되는 형태는 Decoder를 ... 이 Component를 이용하면, 반복되는 조건문을 매우 줄여 줄 수 있으며, Port Map을 이용하여 자유자재로 입력과 출력신호를 설정 해 줄 수 있기 때문에, 여러 가지 기능을
    리포트 | 9페이지 | 4,200원 | 등록일 2011.01.09
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    *VHDL Codeentity TOP is port (CLK : in std_logic; SW1 : in std_logic; -- 선택버튼 3개 SW2 : in std_logic; ... [6~0] : 초 표시의 상위 자리 SEG_S1[6~0] : 초 표시의 하위 자리 ALRAM : 알람신호*Block Diagram■ Block DiagramMODE : MODE1과 ... 구현VHDL 통합 컴파일 / 디버깅FPGA보드 구현진행률0%10%30%50%100%*전반적인 시스템 설명■ 전반적인 시스템 설명 Top : 아래의 component를 배열(가장 상위의
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    Debouncing이란 push button, switch 등의 기계적인 동작을 전기 신호로 바꿀 경우 기계적인 떨림 동작이 전기 신호로 그대로 나타나는 현상이 있는데 이것을 제거하는 ... ;output_freq : positive := 1000);port( clk : in std_logic ;reset : in std_logic ;clk_out : out std_logic ... 확인~ 12월 06일피드백 수렴 후 문제점 보완, 완성~ 12월 07일기기에서 최종 확인~ 12월 10일프로젝트 완성~ 12월 15일보고서 제출소스 코드시계Clock을 생성하는 VHDL
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • RS와D플립플롭실험(예비)
    가한다) 등이 있다. ... CLK앞에 NOT 게이트를 부착하면 하강 에지에서 값을 출력으로 내보내는 D플립플롭 설계도 가능하다.- 참고문헌 : VHDL을 이용한 디지털 논리회로 설계(William Kleitz ... 관측, 시간의 관측(전파에 의한 거리측정, 초음파에 의한 탐상기 등), 그래프 표시에 의한 측정(트랜지스터의 특수곡선 표시 등, 예를 들면 X축에 컬렉터 전압, Y축에 컬렉터 전류를
    리포트 | 11페이지 | 2,000원 | 등록일 2012.10.11 | 수정일 2013.11.18
  • 논리회로 설계실험 가산기
    동작원리를 이해한다.3) VHDL simulation을 위하여 Model Technology/Mentor Graphics의 “ISE WebPACK 및 ModelSim”을 사용법을 ... 익힌다.4) 4비트 감가산기를 VHDL언어로 구현 할 수 있다.2. ... Implementation전가산기(entity name: Full_adder) 에서의 입력신호(x, y, carry-in)와 출력 신호(s, ci)의 관계이다.s
    리포트 | 8페이지 | 1,000원 | 등록일 2009.07.10
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    architecture body로 설계 가능- 동작적, 데이타플로우, 구조적 또는 이들의 혼합 기술▶ 선언문(declaration)- begin과 end 사이에서 사용할 signal(신호 ... 또한 이 디지털 시스템은 계층적으로 기술될 수 있으며 타이밍도 명시적으로 모델링 될 수 있다.VHDL은 디지털 시스템의 병행적(concurrent) 또는 순차적(sequential) ... ▶ 상위 수준의 설계 가능▶ 우수한 문서화(documentation) 기능 제공▶ 시뮬레이션(simulation)과 합성 가능▶ 업체 표준화*VHDL을 이용한 Modeling 기법▶
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • [PPT] VHDL 문법
    VHDL 문법2 식별어 (Identifier) 설계자의 임의 문자열 entiry, architecture body, port 의 이름 정의 규칙 VHDL 은 대소문자 구별 없음 두개의 ... NUM1 45.54; -- NUM1 은 45.54 보다 큼 NUM2 (‘1’,’0’,’0’,’0’,’0’,’0’); -- NUM2 는 오른쪽보다 작음43 산술 연산자 1 정수 실수 등의 ... A.unsi + B.unsi; return Y; end “+”; end over;Object25 객체 (Object) 값을 가지는 모든 것 자료형 (data type) 를 갖고 있음 종류 신호
    리포트 | 86페이지 | 1,000원 | 등록일 2010.06.12
  • 전가산기(회로, VHDL)
    선언문은 begin 과 end사이에서 사용할 신호(sigdal), 변수(variable), 상수(constant), 자료형(data type)등의 데이터 객체를 선언한다. ... declaration)VHDL을 사용하여 비트 단위의 adder을 설계하려면 먼저 설계할 회로가 갖는 입력, 출력 및 양방향 핀 등을 엔티티로 선언하여 회로가 구성될 경우에 사용될수 ... 즉 신호는 시간이 지남에 따라 변한 값을 가지나 변수는 현재값을 가진다. 논리회로를 표현하는데 가장 중요한 데이터 객체는 신호이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2008.06.06
  • VHDL-Final Project Digital Clock 만들기 <- A+
    Debouncing 이란 push, button, switch 등의 기계적인 동작을 전기 신호로 바꿀 경우에 기계적인 떨림 동작이 전기 신호로 그대로 나타나는 현상을 제거하는 회로이다 ... : STD_LOGIC; -- sw_t와 sw_out_t 에 대한 signal 설정beginsw_out Global clock 로 LCD mode 전환2. ... 즉, 10진 계수기로 사용할 경우 출력 값을 0~9까지 표현되는 정수 값과 10분주 신호를 출력 시킨다.
    리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • 자기소개서(LG)
    , Filter solution, matlab simulink, VHDL등의 사용법을 익혔고, 회로를 구성하는 방법에 대하여 스스로 생각하여 보고, 또한 팀원들과 스터디를 하면서 결정하게 ... 그리하여 신호및 시스템과 불규칙 신호론과 디지털 통신 과목을 수강하였고, 이번 학기에 디지털 신호처리 과목을 수강하고 있습니다. 사실 저는 영어를 잘 하지 못합니다. ... 겨울 방학동안 필요한 논문을 찾아보고, 모르는 부분은 조교나 교수님께 질문을 하면서, 어떤 방식으로 구성하면 되는지에 대하여 알수 있게 되었고, 이 프로그램을 진행하기 위하여, p-spice
    자기소개서 | 3페이지 | 3,000원 | 등록일 2011.11.04
  • VHDL을 사용한 시계
    프로젝트를 시작하며1이번 프로젝트는 VHDL기반 하에 카운터를 이용한 디지털시계를 만드는 것이다.VHDL이라는 것을 처음 접해 보기 때문에 관련된 지식이 많이 부족하다.때문에 책에 ... INTEGER RANGE 0 TO 5;tc:OUT BIT);END mod6;ARCHITECTURE a OF mod6 IS -- mod6의 하드웨어 내부를 표현 연결, 동작, 구조 등을 ... 60㎐의 신호를 슈미트-트리거에 의해 구형파로 만든 후 MOD-60 카운터로 전달되어 1㎐의 신호 를 만들고 이 1㎐의 신호는 각 카운터에 Clock으로 clk 단자로 들어간다.?
    리포트 | 13페이지 | 1,000원 | 등록일 2008.12.31
  • Traffic Control Signal 제작 (VHDL) - 신호등 제작
    한 쪽에게만 켜지게 된다.◆ VHDL Code-- ::: micro_08.vhd ::: 메인 entity 파일- state는 총 3개로, Attention (두 도로 모두 차가 없는 ... 만약, 신호를 변경해야 할 경우에는 1 cycle 동안 두 신호등 모두 황색등이 되었다가 신호가 바뀐다.-- 한 쪽에만 계속 차가 있는 상황이라면 cycle에 무관하게 계속 녹색등이 ... Image- state diagram (state는 총 3개로, Attention (두 도로 모두 차가 없는 상황 또는 신호 변경 상황), PassA (도로A 우선 상황), PassB
    리포트 | 5페이지 | 1,000원 | 등록일 2008.12.26
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    이 회로를 확장하여 선택 핀과 데이터 입력을 늘리게 되면 4x1, 8x1, 16x1 등의 먹스를 만들 수 있다.다음은 4x1 먹스의 회로도이다. 2개의 선택입력 S0, S1을 이용해 ... 하나를 선택하여 출력으로 보내는데 출력은 출력선택신호 Sel에 의해 선택된다. ... MUX- Describe its input output signals2x1 MUX의 입력은 i0와 i1의 두개의 bit이고, 출력은 Z이고 1bit이다. 2x1 MUX는 2개의 입력신호
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • 촘스키의 4가지문법, BNF와 EBNF차이, 의미론
    선언 , 명세 , 표현식 등의 구문을 표현 , 밑줄 문자는 공백 문자를 표현 2 . ... BNF 규칙 2 볼드체 단어는 예약어를 나타내는데 사용 array 예약어는 VHDL 문법에서 지정한 위치에 지정한 용도로만 사용되어야 함B N F 규 칙 3. ... 포함하는 로마체 소문자는 구문 종류를 나타냄 Ex) formal_port_list “ formal port list” 라는 구문 종류를 의미 순차문 , 프로세스문 , 파일 선언 , 신호
    리포트 | 17페이지 | 1,500원 | 등록일 2012.06.07
  • VHDL 기초 이론 내용요약
    -여러 설계에서 공통으로 사용되는 data type, subprogram, procedure등 정의3)Package의 이점-설계시간을 단축시킴-모델의 구조를 개선시킴-코딩된 전체 모델이 ... :미국, 유럽뿐만 아니라 일본, 한국 등의 나라도 그 사용이 점점 증가하고 있는 실정이다. 특히 PLD를 VHDL을 가지고 이용함으로써 가전제품에 많이 사용하게 되었다. ... 표현하는 레벨이다.1)동작적 모델링-설계자가 원하는 것을 기능적 또는 수학적인 알고리즘을 사용해서 시스템의 기능을 기 술한 것-빠른 시뮬레이션 결과를 얻는다.2)자료 흐름적 모델링-신호
    리포트 | 12페이지 | 2,000원 | 등록일 2008.12.29
  • xilinx를 이용한 ROM, RAM설계
    array (Natural range ) of Bit; (무제한적 array type) ④ 데이터 초기화 - 상수 : constant name : type := value; - 신호 ... 주소가 같은 시간에 접근이 가능하고 수시로 정보를 저장하거나 저장된 정보를 읽어 낼 수 있다. - RAM의 종류는 DRAM(Dynamic RAM), SRAM(Static RAM) 등이 ... . • Clock의 rising edge에서 동작하고 동기 enable기능을 갖는 3X8 ROM을 VHDL로 설계한다(ROM table은 임의로 작성). • Clock의 rising
    리포트 | 17페이지 | 1,500원 | 등록일 2010.06.24
  • VHDL. 기본 논리게이트 설계하기
    출력 c_and 에는 a and b 연산을 수행하여 신호 대 입 해야 한다. 이를 VHDL 코드로 표현 하면 c_and ... 한다.나) entity, behavioral, architecture, process 등 기본 언어를 알고 사용할 수 있어야 한다.3. ... Implementation1) VHDL가) 주어진 조건에 따라 2. 1) 다)까지 VHDL Module을 수행한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2007.07.18
  • FPGA를 이용한 신호등구현
    기본 구조를 익혀 신호등을 구현하고 앞에서 언급한 Tool들을 사용하여 직접에서 이루어지기 때문에 아날로그 또는 혼합신호 기능의 결합에는 적합하지 못하다. ... 소프트웨어- , simulation뿐만 아니라 합성을 위한 설계 기능을 갖춘 표준화된 언어로 VHDL을 인식하게 되었다.2. VHDL의 특징? ... 學士學位論文『FPGA를 이용한 신호등 구현』指導敎授 정 원 기全 州 大 學 校情 報 通 信 工 學金 聖 晩, 張 玉 峻2006 年 6 月 20 日전주대학교 정보통신과Ⅰ.서론반도체 기술의
    리포트 | 52페이지 | 1,500원 | 등록일 2007.08.08
  • Structural/Behavioral 32-bit Adder
    VHDL의 동작적 표현을 위한 가장 일반적인 구문으로서 사용되는 것이 프로세스문(process statement)이다. ... 대기문, 신호 대입문, 단언문, case문, exit문, if문, loop문, next문, null문 등이 있다. ① 대기문 (Wait Statements) - 대기문은 프로세스문의 ... list)는 신호의 이름을 리스트로 가지며 이들 신호 중에서 적어도 하나의 값이 변해야만 프로세스문의 수행을 시작한다. 2) 순차문 : 프로세스문 내에 들어가는 순차문의 종류로서는
    리포트 | 10페이지 | 1,500원 | 등록일 2008.05.04 | 수정일 2019.04.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대