• 통큰쿠폰이벤트-통합
  • 통합검색(157)
  • 리포트(152)
  • 자기소개서(5)

"vhdl 신호등 s" 검색결과 41-60 / 157건

  • VHDL을 이용하여 다양한 state machine 설계
    RESET과 SW_a, SW_b, output등은 포트로 연결해주고 1초생성기의 출력과 듀얼카운터의 입력을 =>sec_counter로 듀얼카운터의 출력과 FND의 입력을 =>counter_FND로 ... 조합논리라 할수있다.② RTL viewer③ 시뮬레이션 결과IDLE상태에서 A신호가 들어오면 10진카운터가 동작하고 IDLE상태로 되었다가 B신호서 본 상태도RTL에서 State라는 ... 설계 및 시뮬레이션1) BCD코드① VHDL코드state_type인 타입을 빨간네모부분처럼 선언해준뒤 signal state를 그 타입으로 지정해준다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • [A+자료] VHDL를 이용한 신호등 설계 입니다.
    논리회로 설계 과제< 신호등 설계 >과 목 명담당교수소 속팀 원제 출 일1. 목적이번 설계의 목적은 VHDL을 이용해서 사거리 신호등 시스템을 구현하는 것이다. ... 사거리 신호등 답사? State Diagram? ... 차량용 신호등은 Red, Yellow, Green 이렇게 세가지로 구성되어 있고, Red -> Yellow -> Green -> Red........의 순서로 반복 진행된다.2.
    리포트 | 6페이지 | 3,000원 | 등록일 2012.06.17
  • 디시설 - 전가산기, 전감산기 설계
    이것이 바로 VHDL 가장 큰 이점이다.변환함수VHDL에는 integer, unsigned, logic 등 다양한 데이터 타입이 있으며, 변환함수는 이러한 데이터 타입을 다른 데이터 ... y) + xy② XOR을 사용한 전가산기 VHDL코드 설계- S와 C의 논리식을 전개한 후 간략화 하다보면 S = x?y?z, C = z(x? ... 입출력 port signal인 경우 entity 내에 port로 선언해 입출력 신호를 정의하며, 그 외의 signal 선언은 architecture와 begin 사이에 넣는다.위에서
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • VHDL을 이용한 교통신호등 설계
    VHDL을 이용한 교통신호등(Traffic) 설계프로젝트 목표: VHDL을 이용하여 교통신호등을 설계한다.개발도구: FPGA(ALTERA)BOARD, QuartursⅡ//신호등 구동을 ... (3 downto 0););end traffic;//클럭 분주를 위한 변수의 선언architecture arc of traffic is//신호등 구동을 위한 변수 선언signal cnt ... sclk:std_logic;signal mclk:std_logic;signal s_flicker:std_logic;begin//flick_sw가 rising edge일 때 s_flicker신호
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.06
  • VHDL을 이용한 신호등 설계 프로젝트
    실험의 목표VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.① 교차로에서 차량신호등과, ... (iSwitch)로 구성되어 있으며, 이 signal이 일련의 Process(파란색)를 통과하여 신호등과 7segment의 출력(빨간색)을 나타내는 구성을 바탕으로 하여 신호의 전달과정을 ... 보행자 신호등에 동시신호가 발생하며, 동, 서, 남, 북 네 방향을 주기적으로 전환하며 신호가 발생한다.② 기본신호등에 차량의 누적된 차량의 수에 따라 신호의 길이가 조절 가능하게
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • 논리회로설계실험 FlipFlop Register 예비보고서
    Flip-flop의 종류로는 S-R, J-K, T Flip-flop등이 있다. ... 그 후 Flip-flop의 종류 중 하나인 JK Flip-flop의 진리표, 특성표, 상태도, 논리 기호, Nor 게이트를 이용한 회로도를 바탕으로 비동기 입력 신호를 제외하여 VHDL ... method=view&lmsBdotSeq=2504115&lmsBlbdId=4 >고찰비동기 입력 신호를 포함하지 않은 JK Flip-flop VHDL 코드를 살펴 보겠다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • VHDL이란?
    VHDL에서는 constant(상수), signal(신호), variable(변수) 세 가지가 있다. ... 디지털 회로 설계HW#2목차VHDL이란? ... 그 중 VHDL은 1980년대 집적회로 기술에 대한 급속한 발전에 따라 디지털 회로에 대한 표준 설계수단을 위해 개발 된 디지털 회로 및 혼합 신호(mixed-signal)를 표현하는
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • 아주대학교 논리회로 설계 과제 1. 7 Segment Decoder vhdl
    -7 Segment decoder를 이용해 학번을 출력-설계 방식은 if, case, with ~select, when 등을 택해서 설계-Block diagram이나 Structure ... 처음 과제를 받았을 때는 VHDL에 관해 아무것도 알지 못했고 답답한 마음 뿐 이었다. ... 형태로 날짜를 출력-201200001 형태로 학번을 출력-꼭 2개의 출력이 있어야 함1. 7 segment 2진수로 표현된 0~9까지의 입력이 들어갔을 경우 해당되는 7 segment의
    리포트 | 11페이지 | 1,500원 | 등록일 2013.11.28
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... ) chart등으로 표현된다. ... 않아야 하기 떄문에 0을 출력한다.지금까지 스위치가 눌러진 순간에 대하여 눌려졌다는 신호를 출력하는 s_sw를 구현하였다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • VHDL실습 디지털 시계
    VHDL 및 실습디지털 시계 설계 및 시뮬레이션1.서론‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 하여 이를 ... 그런데 NotS, AandNotS, BandS는선언되어 있지 않으므로 38~40번째 줄처럼 신호를 선언해주어야 한다.pins : all 에서의 입출력포트를 다 옮겨주고, 부품으로 사용한 ... 초와 분을 나타낼 때 60sec ,min 단위로 흘러가기 때문에 60진카운터가 필요하다.④ 12진 카운터 ?
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 논리회로설계실습-FSM-예비보고서
    ) chart등으로 표현된다. ... 즉, 입력 신호의 조건에 따라 출력 신호를 여러 개 가질 수 있다. ... 논리회로설계 실험 예비보고서 #9실험 9. 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • VHDL실습 상태머신 설계
    state machine은 동작순서를 결정하는 시스템으로써 rising edge나 falling edge등 클락에 의해 넘어간다. ... VHDL 레포트1.서론(1) state machine(2) BCD Counter(3) Gray Code Counter(4) Dual Counter(5) Stepping Motor(6 ... //예를 들어 sw_a와 sw_b가 동시에 1이 되면 코드에서 sw_a를 먼저 검사하므로 STATE_A가 된다.sw_a와 sw_b 신호sw_b 신호가동시에 1이 되었다.
    리포트 | 18페이지 | 2,000원 | 등록일 2019.04.20
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    순차회로에서 수행한 내용들이 linetracer를 구현하는데 많이 사용되었으며 분주기 설정, finite state machine 설계 등이 있었다. ... 실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... 추후의 소스 코드에서 각 상태에 따라 고정자에 인가하는 신호를 정의하여 바퀴의 회전을 구현하였다.7) 설정한 속도에 따른 바퀴 회전 구현 2 (L_state, R_state → 1-
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 임베디드 시스템 자판기 결과보고서
    상태 0원(s0,a0)으로 이동돈이 나옴을 신호로 알림.2번째 VHDL 자판기 상태천이도- 가 [A0,S5] (500원)->1000원이 들어오면 A상태 1증가 [A1,S0] (1000원 ... VHDL 자판기 코드제품 버튼 코드LED 점등 조건 코드제품 선택 조건 코드제품 선택 조건 코드2( 선택 후 상태 변화 )뒷부분 생략거스름돈 버튼 코드상태(돈) 코드(s0~s9)( ... 내주고 S0로 이동-> C가 들어오면 상품을 내주고(거스름돈 없음) S0로이동*상태천이도시뮬레이션결과입력신호coin_in[1:0]출력신호action_out[2:0]000원구매가능상품
    리포트 | 18페이지 | 3,000원 | 등록일 2016.04.13
  • VHDL코드를 이용한 Seven Segment구현 및 simulation
    VHDL실습 추석 레포트7-segement 디스플레이담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다.1-2 1.진리표(상태표), 논리식, 필요한 그래프, 표 등 2.그에대한설명? ... 입력은 0~9까지임으로을 해야 신호를 줄 수 있다. 입력은 4개를 써야하며, 0부터 9까지 10개의 숫자만 필요함으로 그 이후의 숫자는 don't care로 채워 넣었다.?
    리포트 | 5페이지 | 1,500원 | 등록일 2010.12.27
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4. ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all ... 0;--신호등의 진행 방향(동, 서, 남, 북)을 나타내는 변수이다.signal rotate: std_logic;--신호등의 한쪽 방향의 진행이 완료된 것을 나타내는 변수이다.signal
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • [대충] 예비 VHDL 설명 및 문법
    자료 흐름적 기법의 내부적 동작표현-병행신호할당문 : 프로세서문에서 지정어인 process, begin, end 등과 감지신호를 없앤 간결한 표현으로서 하나의 프로세서문을 수행하는 ... all;entity inv isport( A : in std_logic;Z : out std_logic);end inv;architecture action of inv isbeginZ ... 디지털공학실험(예비보고서)실험 : VHDL 설명 및 문법1. 실험 목적VHDL의 특징과 설계 기법에 대하여 학습하고, 설계를 위한 기본적인 문법을 학습한다.2. 실험 이론가.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 10-논리회로설계실험-예비보고서
    VHDL을 이용한 디지털 시스템 설계』 CENGAGE, 2008, ‘VHDL 모듈’- 12_순차회로+설계_+FSM PPT- http://satrol.tistory.com/13- http ... 예비 이론(1) FSM(Finite State machine)- 기본적인 순차회로를 과거의 출력, 현재 입력, 현재 상태 등으로 다음 상태를 결정하는 장치이다. ... 이러한 경우에는 진동 혹은 정확한 신호가 출력되지 않기 떄문에 D FF 뒤에 동일한 D FF을 연결하여 비록 지연시간이 한 클럭 사이클만큼 더 길어지지만 깨끗한 신호를 얻을 수 있게
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    BCD->7세그먼트 디코더, BCD->10진수 디코더 등으로 자주 쓰인다. ... Encoder는 디코더의 기능을 역으로 수행하는 조합논리이다. 10진수 또는 8진수 등의 숫자를 의미하는 액티브 상태의 입력이 인가되면 이를 BCD, 2진 코드화된 출력으로 변환한다 ... 여러 데이터중 제어신호로 선택한 신호만을 출력하는 조합논리이다.(3) Demux (Demultiplexer)Demux는 Demultiplexer의 줄임말로 Mux의 반대라고 생각하면
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작을 ... 그리고 VHDL의 가장 큰 특징 중 하나인 concurrent 기능을 이해하여 concurrent 기능이 필요할 때와 sequntial 기능이 필요할 때를 구분하여 sequntial ... 프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다.
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대