• 통큰쿠폰이벤트-통합
  • 통합검색(157)
  • 리포트(152)
  • 자기소개서(5)

"vhdl 신호등 s" 검색결과 121-140 / 157건

  • [ASIC] VHDL, Verilog, SystemVerilog의 비교
    오늘날 어떤 VHDL 디자인은 최소한 IEEE-Std 1164(std_log type)에 의존하고, 또한 다수의 VHDL 디자인이 표준 수치, 수리 패키지에 의존한다. ... 지원되는 자료표현들은(String을 제외하고) 자유롭게 혼용될 수 있다.Verilog의 시뮬레이션 semanticsVHDL의 경우보다 더 모호하다. ... (하지만 VHDL만큼 strong하지 않다)사용자 정의 타입YesNoYes동적 메모리 할당(포인터 타입)YesNo부분적클래스 객체는 핸들을 통해 동적으로 생성, 소멸 될 수 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2008.04.03
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션 ... 즉 초기 설계 단계에서 알고리즘 검증을 위해서 많이 사용한다.2) Dataflow modeling: 신호 및 제어의 흐름과 같은 데이터의 흐름을 나타낸다. ... 동작 특성이라고 하는 것은 일반적으로 spec, datasheet, idea등이 될 수 있다.HDL이전의 하드웨어 설계에서는 주로 레이아웃 편집기(layout editor)나 스키메틱
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • BCD 코드를 이용한 10진 가산기
    (AND, OR 등) 표현 - VHDL의 병행문 사용(회로의 각 구성요소의 작동 표현) 구조적 표현(Structural description) - Interface 가능 - 이미 설계된 ... 순차문 사용 자료흐름 표현(Dataflow Description) - 동작적보다 한 단계 낮은 레벨 - 자료의 흐름, 신호 및 제어의 흐름 표현 - 부울 함수, RTL, 또는 연산자 ... sum)과 올림수 C(carry)를 발생하는 회로반가산기입력X Y출력C S0 0 0 1 1 0 1 10 0 0 1 0 1 1 0S = XY C = XY가산기 (전가산기)Digital
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • vhdl 센서를 이용한 신호등 제어
    센서를 이용한 신호등 (Signal lamp with sensor)2007년도 1학기 VHDL Project목 차동기 및 목적 동작 설명 블럭도 프로그램 시뮬레이션 회로도 완성그림 ... :in std_logic; Aload :out std_logic_vector(6 downto 0); Bload :out std_logic_vector(6 downto 0)); end ... 1,0 B도로 신호등 = 황색프로그램 (1/2)library ieee; use ieee.std_logic_1164.all; entity lamp111 is port(clk , sensor
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.12
  • 논리회로 설계실험 농구전광판
    출력 할 수 있다.4)한 학기 동안 배운 VHDL을 충분히 복습하여 본다.5)실습시간동안 배운 것 외의 기능을 사용하여 본다.2. ... -----------------Out_minint, Out_secont, Out_s_second : out STD_LOGIC_VECTOR (5 downto 0);--buzzer 신호 ... Introduction1)농구 전광판을 구현 한다.2)농구 전광판에 들어갈 기능은 타이머 기능, 점수의 감 가산 기능 등이 들어간다.3)전광판의 결과물은 LCD와 SEVEN_SEGMENT에
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • 제 9장 (결과) 연산 회로 설계 실험
    +10111-2-3-00010표 9-5 4비트 ALU의 결과 (M=1)동작 제어 신호A=0100B=0011A=0010B=1100S3S2S1S0F3F2F1F0F3F2F1F******* ... /document&data/vhdl/VHDL/VHDL(4.1).ppt)④ MAX+PLUS Ⅱ > Floorplan Editor를 통해서 FPGA의 I/O에 설계된 칩의 I/O를 할당하여 ... 다시 컴파일 했을 때 한 번에 이루어지지 않고 에러가 발생했다면 그 원인에 대해서 토의해 보시오.초기에 칩의 각 I/O핀에 스위치나 LED 등이 랜덤으로 할당되어 있기 때문에 이것들을
    리포트 | 7페이지 | 1,500원 | 등록일 2007.11.03
  • MU0 CPU -VHDL로 설계하기(ALU, ACC, IR, MUX, PC, control unit)
    《 MU0 VHDL 》1. ... 산술 논리 연산 장치라 불린다.Accumulator에 저장된 값과 새로운 데이터 값을 받아서 그 두 값을 산술 논리적으로 연산하는 장치이며, PC에 저장된 주소의 값을 증가시키는 등 ... Processor의 이해프로세서는 메모리에서 일정한 데이터 값을 순차적으로 불러와서 그 데이터에 저장된 명령어를 디코딩(해석)하고 통제 신호를 발생하여 프로세서 내부의 레지스터들을
    리포트 | 28페이지 | 2,000원 | 등록일 2008.11.25
  • 아날로그신호와 디지털신호의 비교
    펄스 형태로 된 모든 데이터 장비의 신호예) 컴퓨터 단말기의 디지털 신호, 숫자 표시 시계등2.vhdl이란VHDL이란 : Very high speed integrated circuit ... ) 사람의 음성 신호, 바늘에 의하여 움직이는 시계등연전대, 스트레인 게이지 등과 같은 센서에서 발생되는 신호들은 디지털로 변경할 수 없는 요소디지털 신호신호 처리를 하는데 전기적인 ... 1.아날로그신호와 디지털신호비교아날로그 신호회로가 지시하는 전압이나 전류가 모든 정보에 대응시켜 생각 미소한 잡음 등의 혼입도 정보에 영향을 주기 때문에 고도한 회로기술이 요구되는
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.07
  • 컴퓨터의 이해 과목 주요자료정리
    가산기, 감산기, 코드변환기, 디코더, 인코더 등).디코더 : 컴퓨터 내부에서 디지털로 코드화된 데이터를 해독하여 그에 대응되는 아날로그 신호로 바꿔주는 컴퓨터 회로로 인코더의 반대개념으로 ... 대부분 CPU, FPU 등에서 따른다. ... 디코더라고도 하고, 데이터를 본래 형태로 바꿔준다는 의미에서 복호기라고도 한다.인코더 : 디지털 전자회로에서 어떤 부호계열의 신호를 다른 부호계열의 신호로 바꾸는 변환기.순차논리
    리포트 | 10페이지 | 1,000원 | 등록일 2010.04.07 | 수정일 2015.11.03
  • 논리설계실험 chap 04 shift register
    ;( VHDL Modual - File name : "shift " 로 지정 )- entity문entity의 이름은 shift로 하고. port를 구성한다. clk, reset, enable은 ... 지금 같은 상황은 mode, direction 등이 모두 선서에 맞게 작동해야 되는데 동시에 작동하는 case문 같은 경우는 전체적인 흐름을 설정하기 힘들다. ... 그 다음으로 작동 되야 되는 것은 clock 값인데 clock 값에 따라 rising edge를 통해 작동 되어야 하고 그 다음으로는 enable 신호와 mode, direction
    리포트 | 10페이지 | 1,000원 | 등록일 2008.10.26
  • 회로이론 - FPGA 조사
    설계 데이터 변환Schematic이나 VHDL 또는 이들의 혼합에 의한 입력 FPGA 설계 툴이 이해하는 하나의 통합된 네트리스트 형태로 변환된다. ... Configurable input/output (I/O) Block은 칩에 신호를 보내고 다시 돌려보내는 전달의 역할을 한다. ... 일반적으로 output에는 pull up resistors와 때대로 pull down resistors가 사용되며, 이는 유입되는 신호와 버스를 discrete resistors없이
    리포트 | 12페이지 | 2,000원 | 등록일 2009.03.25 | 수정일 2019.04.12
  • [모터] 스텝 모터 의 기능 및 장단점 설계 실습(VHDL)
    (공장내의 로봇 등에 활용)소스(VHDL이용) p.1library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all ... 디지털 신호로 제어하므로 컴 퓨터 제어에 용이장 점디지털신호로 직접 오픈루프제어를 할 수 있고, 시스템전체 가 간단하다. ... 분류하고, 이 극의 수에 따라 motor의step각 등의 기본 특성이 달라 집니다.
    리포트 | 21페이지 | 1,000원 | 등록일 2005.07.19
  • [전자] VHDL
    다 양한 지연(delay), 물리적인 량의 표현, 병행 신호 할당문, 분해 함수(resoluton function) 등 의 기술이 가능하다(이들에 대해서는 제 2장에서 설명된다). ... 예 를 들면 정확한 타이밍 검증, 임계경로(critical path)의 계산등이 어렵다. 무엇보다도 가장 큰 문제는 합성도구(synthesis tool)에 대한 지원이 부족하다. ... 제 1 장 서론VHDL이란 : Very high speed integrated circuit Hardware Description Language의 약자로서 상위의 동작 레벨에서부터
    리포트 | 5페이지 | 3,000원 | 등록일 2005.06.10
  • Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    서술언어는 VHDL(very high speed hardware descri-ption language), HDL등이 있다.Ex> assign C=A & B;☞ Structural ... 신호와 Areg신호, Z신호를 입력으로 하고 wire G를 출력으로 하는 mux선언부분*/defparam multiplexer.k =n;/*k비트로 선언되어있던(혹은 다른 사람이 ... 그리고 4개의 플래그신호(Zero, Negative, oVerflow, Carry)를 이용하여 해당 신호의 발생유무를 플래그 출력으로 쉽게 알 수 있게 한 것이 특징입니다.Learning
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • [Wireless Control Micromouse] 무선 조정 마이크로마우스 with VHDL
    그래서 이번 Project에서 이전의 아쉬웠던 부분들을 보완하고 추가적으로 세밀한 컨트롤 기능을 더하기 위하여 Step모터를 사용하여 보다 정교한 신호제어를 언어로는 VHDL을 그리고 ... 신호를 고주파로 올려서 각종 증폭, 필터링 등의 과정을 거쳐 보내고 받는 정밀한 아날로그 회로. ... 예를 들어 정교한 신호제어를 하지 못해 다양한 상황에 대처하지 못한다는 것 그리고 속도 제어를 하지 못했다는 것 등이 있었습니다.
    리포트 | 24페이지 | 1,000원 | 등록일 2007.12.01 | 수정일 2015.07.26
  • [정보통신] VHDL을 이용한 신호등 제어
    시스템 상태에 대한 type "color"와 "state"를 각각 정의하였으며, VHDL 모델의 기술표현을 좀더 이해하기 쉽도록 신호등의 색깔과 상태를 위해 constant를 정의 ... 교통 신호 제어기를 VHDL 모델링 및 합성을 통하여 설계하고자 한다. ... : state := "01"; --신호등 상태=간선 도로 노랑색Constant FG : state := "10"; --신호등 상태=농로 녹색Constant FY : state :=
    리포트 | 5페이지 | 1,000원 | 등록일 2004.06.25
  • 7segment
    이와 같이 비동기식 회로는 플립플롭들이 서로 다른 2개 이상의 신호에 의해 클럭단자가 구동되는 회로를 말한다. ... 05. 19.디지털논리- 7 Segment -/Problem. 7-Segment■ 문제 개요VHDL을 이용하여 7-Segment를 나타내어라.■ 문제분석 및 풀이방법▶개요7-Segment는 ... 아래 그림은 BCD 카운터에 대한 상태천이도, 논리표 및 회로도 등을 나타내었다.상태천이도논리표K-map회로도▶2자리 7-Segment 전체 블록도■ 회로도ㆍ7-Segment 전체회로도ㆍ첫째자리
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • FPGA 구조와 응용
    VHDL이나 회로를 ORCAD에서처럼 직접 그리는 것을 일컫는 스키메틱 캡쳐 방식등을 이용해서 디지탈 로직을 구현한다. ... 초기단계는 논리회로에 대한 회로를 작성하는 일로써 스케매틱 편집기, 부울식, 상태도 또는 VHDL등과 같은 언어를 따로 또는 혼합하여 사용할 수가 있다. ... (데이타를 지우고 싶을 때는 자외선/전기적 신호를 쬐어서 게이트에 있는 전자를 밖으로 몰아내면 된다.)FPGA 설계과정일반적인 설계과정은 왼쪽 그림과 같은 과정을 거치게 된다.
    리포트 | 20페이지 | 2,000원 | 등록일 2007.03.23
  • [디지털공학] VHDL을 이용한 계산기 설계
    VHDL을 이용한 CALCULATOR 설계Contents#1. Concept1. Object2. VHDL3. FPGA4. ... (V system)등이 있다.VHDL은 매우 넓은 범위의 설계수준(Design Level)을 지원한다. ... PLD(Altera, xilinx, ...)등은 전용 시뮬레이터가 있어서 쉽게 합성할 수 있다.1986년 3월부터 VHDL을 IEEE표준으로 제정하기 위한 노력이 기울여져 1987년
    리포트 | 51페이지 | 6,000원 | 등록일 2004.07.14
  • 디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)
    프로그램을 통해 구현된 게이트들을 통해 입력 클럭과 입력 스위치 신호를 연산하여 시계의 기능을 하도록 하는 MP이다. ... VHDL 소스LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; -- 프로그램 시작 초기 선언 --ENTITY ... 물론 PCB 기판에 납땜을 하였으므로 특별히 배선 등의 기술을 필요하지 않았다.2.
    리포트 | 17페이지 | 1,500원 | 등록일 2007.11.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:00 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대