• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(347)
  • 리포트(316)
  • 자기소개서(30)
  • 이력서(1)

"VHDL설계실험" 검색결과 21-40 / 347건

  • BCD 가산기 설계 결과보고서
    할 때와 Verilog 또는 VHDL설계할 때의 장단점을 설명하라.Schematic ; 비트 수를 고정해서 설계해야 한다. ... HEX)BCD“0111”“0111”140100“1000”“0001”91001“0110”“1000”140100“0101”“0011”81000“1001”“1001”181000고찰이번 실험에서는 ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다.Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    두개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 ... 전자전기컴퓨터공학부 설계실험2Pre La-03Introduction to Verilog HDL실 험 날 짜학 번이 름목차1. ... 배경 이론Verilog HDL과 VHDL의 장단점(1) HDL (Hardware Description Language)먼저 Verilog HDL과 VHDL을 포함하는 HDL에 대해서
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 논리회로설계실험_디코더/엔코더 레포트
    실험 목표디코더와 엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을 ... 논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1. ... 실험 결과- 실험 1.2x4 디코더를 설계하시오.1) 진리표InputOutputA _{1}A _{0}Y _{3}Y _{2}Y _{1}Y _{0}0*************0100111000Y
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 디지털시스템실험 2주차 예비보고서
    디지털 시스템 설계실험 KEEE209 전기전자전파 공학부디지털 시스템 설계실험이름 :학번 :실험제목① FPGA 및 Verilog의 이해, Verilog를 통한 FPGA 프로그래밍 ... Verilog는 산업 및 학계에서 하드웨어 설계자에 의해 사용되는 두 주요한 HDL(Hardware Description Languages)중 하나이고 다른 하나는 VHDL(VHSIC ... 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.기본지식1.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다 ... 실험 내용- 실험 1. 3x8 디코더를 설계하시오.(1) 진리표Y _{0} =A prime `B _{prime } prime `C` primeY _{1} =A prime `B _{prime
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    참고문헌1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안2) M. Morris Mano, Michael. ... 실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... 또한 설계한 로직을 시뮬레이션하기 위한 테스트 벤치의 작성법을 익히고 사용한다.나. 실험 이론(1) HDL의 종류a.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 한양대 Verilog HDL 1
    실험 목적Verilog HDL과 VHDL의 차이를 파악한다. ... FPGA나 집적 회로 등의 전자공학 회로를 설계하는 언어로, 회로도를 작성하는 대신 언어적인 형태로 전자 회로의 기능을 구성할 수 있다. ... 것을 유의해야 한다.VHDL은 디지털 회로 및 혼합 신호를 표현하는 하드웨어 기술 언어이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    VHDL설계실험 에서 사용할 수 있는 TTL 소자의 개수 및 종류와 fan-in, fan-out을 고려하여 처음 설계한 회로를 변 형할 필요가 없으므로 매우 편리하다. ... 이는 [그림 15]의 adder/subtractor unit을 통해 구체적으로 구현된다.실험 4)에서는 실험 1) ~ 3)의 회로를 VHDL설계하고 FPGA로 구현하였다. ... 토의이번 실험에서는 arithmetic comparator, 1-bit full-adder, 3-bit ripple carry adder를 기본 게이트 및 VHDL을 이용하여 설계하였다
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 9장 VHDL 설계 툴 사용법 예비
    디지털공학실험 ? 9장, VHDL 설계툴 사용법 예비보고서1. 목적가. VHDL설계 툴 중 하나인 Xilinx VHDL의 설치법을 익힌다.나. ... Xilinx ISE Design Suite를 이용하여 VHDL 설계에 대해서 이해하고 사용법을 익힌다.다. ... Xilinx ISE Design Suite의 컴파일러와 시뮬레이터를 이용하여 VHDL설계한 회로에 대한시뮬레이션 방법을 익힌다.라.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 시립대 전전설2 Velilog 결과리포트 2주차
    & HDL 설계 지원2) Xilinx ISE Design Entry(1) ISE- Text Editor : VHDL, Verilog- Memory Editor : Hex, Mif- ... Velillog 2주차And 게이트와 HalfAdder 게이트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit ... date목록실험 목적배경 이론실험 장비실험 결과결론 및 토의참고 문헌1.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Materials & Methods (실험 장비 및 재료와 실험 방법) ‥‥ 8가. ... Materials & Methods (실험 장비 및 재료와 실험 방법)가. ... Introduction (실험에 대한 소개)가. Purpose of this Lab이번 실험에서는 verilog HDL 언어의 기본 사용법을 익힌다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 디지털시스템설계실습 논리게이트 결과보고서
    이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다.2.연습문제1번 다음 그림과 같은 회로에 대해 답하라. ... 고찰이번 실험은 Quarters를 이용하여 기본적인 논리게이트 AND, OR, NOT, NOR, NAND 등으로 구성된 함수을 설계하고 시뮬레이션을 해보았다.처음에는 프로그램을 다루는 ... 다음 실험에는 이를 바탕으로 좀 더 정확하고 신속하게 실험할 수 있을 것 같다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.16
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. ... 다양한 HDL이 존재하지만, verilog hdl 과 VHDL이 FPGA과 함께 널리 쓰인다. ... 실험 목적-Hardware Description Language(HDL)을 이해하고 그 사용방법을 익힌다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • [A+, 에리카] 2021-1학기 논리설계실험 Verilog HDL 3 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA의 7 segment를 이용하여 Timer를 설계해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 가상으로 시험하는 Test bench로 구성되어 있다.- Module 단위로 설계한다.ü HDL Design level- 각 설계 레벨에 우열은 없으며, 상황에 맞는 사용이 이루어져야
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • [A+, 에리카] 2021-1학기 논리설계실험 Verilog HDL 2 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA를 이용하여 Full adder와 D 플립플롭을 설계해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 가상으로 시험하는 Test bench로 구성되어 있다.- Module 단위로 설계한다.ü HDL Design level- 각 설계 레벨에 우열은 없으며, 상황에 맞는 사용이 이루어져야
    리포트 | 6페이지 | 2,500원 | 등록일 2023.02.28
  • 10장 VHDL 설명 및 문법 예비
    디지털공학실험 ? 10장, VHDL 설명 및 문법 예비보고서1. 목적가. VHDL의 특징과 설계기법에 대하여 학습한다.나. VHDL 설계를 위한 기본적인 문법을 학습한다.2. ... VHDL 언어 자체의 복잡성② Full-custom 설계 방식에 비해 최적화된 설계가 어려움다. ... VHDL의 장점1) 장점① 표준화된 라이브러리② 특정 기술 및 공정에 무관한 설계방법③ 폭 넓은 이용 범위④ Top-Down 방식의 설계⑤ 재사용이 가능⑥ 설계 기간 단축2) 단점①
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    또한 VHDL로 priority encoder를 설계했을 때 개인 노트북에서는 컴파일 오류가 떴지만 같은 VHDL 코드로 실험실의 컴퓨터에서 컴파일 했을 때는 정상적으로 진행되었다. ... 퀴즈 2번의 결과를 VHDL설계하고 FPGA로 구현하여 동작 확인VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다. ... [표 4]의 priority encoder를 VHDL설계하고 FPGA로 구현하여 동작 확인VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    그에 반해 VHDL은 배우고, 프로그래밍 하기에 조금 더 어렵다.하지만 Verilog에는 라이브러리 관리 기능이 없다. ... (입력: BUS SW, 출력: LED1~4)테스트 입력 값 : a[3:0]modeling을 활용하여 2-input AND 게이트 설계를 진행하시오.실험 1과 동일하다.Results ... 이러한 특징에 따라 한쪽에서의 장점은 한쪽의 단점이 된다.Verilog는 C를 기반으로 하여 일반적으로 VHDL에 비해 배우기 쉽다.
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 예비 보고서
    실험 목적1) Arithmetic comparator를 기본 게이트 및 VHDL로 구현한다.2) 1-bit full adder를 기본 게이트 및 VHDL로 구현한다.3) 3-bit ... 두 4-bit 2진수 , 에 대하여 arithmetic comparator를 설계해 보면 다음과 같다. 먼저 , , , 라 하자. 이때, , , 로 나타 낼 수 있다. ... ripple-carry adder를 기본 게이트 및 VHDL로 구현한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    Verilog HDL과 VHDL의 장단점을 조사하시오.VHDL은 Top-down(하향식)방식의 디자인이 가능해 설계 기간을 단축시킬 수 있고 놓치기 쉬운 error들을 초기에 검증하여 ... 전자전기컴퓨터설계실험Ⅱ예비리포트Lab-03 Basic Gates in Verilog작성일: 20.09.201. ... 실험목적Verilog HDL의 기본 사용법을 익히고 비트 단위 연산자, gate primitive, behavioral modeling 등 논리회로를 설계하는 다양한 방법론을 학습한다
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대