• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(347)
  • 리포트(316)
  • 자기소개서(30)
  • 이력서(1)

"VHDL설계실험" 검색결과 41-60 / 347건

  • 논리회로설계 실험 기본게이트 설계
    논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다. ... 또한 전기전자 논리회로 교과목의 기초지식과 Xilinx tool을 사용하여 VHDL언어를 통해 기본적인 논리회로인 AND OR 게이트의 논리회로를 설계하고 진리표를 통하여 각 기본 ... VHDL의 병행문을 사용하여 주로 표현하며 표현상의 모든 문장들이 순차적으로 동작하는 것이 아니라 항상 동작하게 한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 패리티검사기 설계 결과보고서
    ‘1’을 출력하는 패리티 검사기를 VHDL설계하라.핀 할당2. ... 패리티검사기를 만드는 실험이었다. ... 설계된 패리티 검사기를 컴파일하고 시뮬레이션하라.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    VHDL: 미 전기학회(IEEE) 표준 HDL, 엄격한 문법- 미국 국방성을 중심으로 1987년 표준화되었다. ... Reference1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안2) M. Morris Mano, Michael D. Ciletti(2016). ... 2001년에 IEEE Std. 1364-2001로 개정되었다.- 새로운 SystemVerilog가 개발되어 ISEE 표준화를 추진중이다.- C와 비슷한 Syntax로, 문장 기술이 VHDL보다
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    논리회로설계 실험 결과보고서 #3실험 3. 비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. ... 실험 결과- 실습 1 1bit, n bit 비교기 설계동작적 모델링자료 흐름 모델링진리표에 따라 작성해보자. ... 다른 것들은 익숙하나 함수나 프로시저를 vhdl에서 구현해보는 것은 처음이었다. 이 때 가장 눈여겨 본 것은 variable의 사용이었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 순차논리회로설계 결과레포트
    전자공학실험3 Chap4 순차논리회로 설계[Section 01]간단한 상태도의 구현[학습목표]· 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL로 ... 위해 FSM도(상태도)를 작성하고, Verilog, VHDL설계하는 과정을 공부한다.· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다. ... [실험결과]▣ 레지스터 동작 실험실제 Verilog를 이용한 레지스터 설계▷ 레지스터의 Verilog 표현▷ 레지스터의 Verilog 설계 컴파일 과정▷ 레지스터의 동작 확인?
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • FPGA구조와 ASIC 설계 방법 실험 레포트
    예비 레포트- 실험날짜 : 2018년 11월 27일- 실험주제 : FPGA구조와 ASIC 설계 방법- 예비이론• FPGA & ASIC 정의FPGA(Field Programmable ... 일반적인 하드웨어 기술 언어는 VHDL과 베릴로그가 있다. 전자 설계 자동화 도구를 사용하면 기술적으로 매핑된 넷리스트가 생성된다. ... 초기에 VHDL이나 Verilog (베릴로그)로 된 RTL 기술은 시스템을 시뮬레이션하고 결과를 관측하기 위해 생성된 테스트 벤치에 따라 시뮬레이션한다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • 서울시립대 전자전기설계2(전전설2) 3주차 사전보고서
    2019년 전자전기컴퓨터설계실험23주차 사전보고서1. ... 기반으로 하는 언어, 대소문자를 구분하지 않음, 현장 설계 기능 게이트 어레이 및 집적회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 위해 전자 설계 자동화에 사용되는 언어, ... -요약 : Verilog가 VHDL보다 문법적으로 자유롭고, 쉬우나, 복잡한 작업에서는 VHDL이 더 유리함.2.
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.13
  • 전전설2 3주차 실험 결과레포트
    하나는 VHDL로 미국방성 주도로 개발하였고 엄격한 문법이 특징이고 나머지 하나는 Verilog HDL로 반도체 업계주도로 개발하였고 유연한 문법이 특징이다. ... 하드웨어 기술언어인 HiLo와 C언의 특징을 기반으로 개발해서호한다.결과적으로 두 언어의 합성 가능한 하위 집합을 보면 기능면에서 매우 유사하지만 Verilog로 작성된 코드는 VHDL로 ... 상위레벨 설계도구의 사용으로 인해 설계 생산성이 향상되며 설계시간의 단축에 따른 설계비용이 감소한다는 장점도 있다.
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 논리회로실험) 7 segment 프로젝트 1 예비
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 엔코더1. ... 실험 목표디코더와 엔코더에 대해 알아보고 진리표를 설계해본 후, 3 X 8 디코더의 동작적 표현을 if문을 사용하여 설계하고 when ~ else문을 이용하여 자료 흐름 표현을 설계한다 ... 그러다가 저번 수업 때 VHDL에서는 elseif가 아닌 elsif라는 것을 기억해 내어 가까스로 해결을 할 수 있었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    표 1][표 1]의 binary decoder를 설계한다. ... 디지털논리회로실험예비 보고서[3주차]실험 3. Decoders and Encoders1. ... ) FPGA와 VHDL을 이용한 회로의 구현방식을 이해한다.2.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로실험 비교기와 MUX, ALU 결과보고서
    실험 목표VHDL의 Subprogram으로서 함수와 프로시저를 사용하여 예비보고서에서 배운 4비트 ALU를 작성한다. ... 논리회로설계 실험 결과보고서 #5실험 5. 비교기와 MUX, ALU1. ... 하지만 이번 실험은 본래 간단한 설계이었지만 함수와 프로시저를 익히기 위해 사용함으로써 기존의 코드보다 더 복잡한 설계가 되었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    VHDL)의 기본 구문과 기능을 학습합니다. ... 코드의 안정성과 성능을 고려하여 버그를 최소화하고 테스트를 철저히 수행해야 합니다.완벽한 설계를 위한 끈기 있는 태도① 대학 실험 실습 과정: 학생들은 HDL 코딩 과제에 대해 꼼꼼한 ... 예를 들어, Verilog 또는 VHDL과 같은 HDL 언어의 구문과 규칙을 숙지하여 회로를 효과적으로 작성할 수 있어야 합니다.※ 학사 수준에서는 HDL 언어(예: Verilog,
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    속도가 빠르다는 장점이 있으며 ACTEL, QUICKLOGIC, CROSSPOINT 등이 그 예)으로 크게 구분 지을 수 있으며 EPROM 방식(ALTERA가 그 예)도 사용한다.- VHDL ... Reference1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안2) M. Morris Mano, Michael D. Ciletti(2016). ... 실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. ... 일시정지가 가능한 Stop Watch를 설계한다. ... 설계 목표BCD, 7 segment, 카운터, Debouncing 등에 대해 조사해보고, 지금까지 학습해왔던 논리회로 설계기술을 이용하여, 분 : 초 : 1/100초를 나타내고 리셋기능과
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 베릴로그 1-digit BCD counter 설계
    디지털시스템설계 #5 Report2018. 6. 6 제출실험목적위 그림과 같은 입, 출력값을 가지는 2-digit BCD counter를 설계하는 것이 이번 실험내용.먼저 위의 블록도를 ... File : bcd.v// Generated : Thu May 31 13:28:46 2018// From : interface description file// By : Itf2Vhdl ... 가진 1-digit BCD Counter 코드를 아래와 같이 설계함.1-digit BCD Counter 소스코드inc연산일 땐 Q가 9일땐 Q를 0으로 설정하고 아니라면 Q값을 하나
    리포트 | 21페이지 | 2,500원 | 등록일 2021.04.09
  • 논리회로실험 반가산기 전가산기
    논리회로설계 실험 예비보고서 #2실험 2. 반가산기 & 전가산기1. ... 실험 목표반가산기와 전가산기에 대해 알아보고 반가산기의 진리표와 논리식을 작성하고 그에 따른 논리회로를 그리고 자일링스 프로그램을 사용하여 VHDL언어로 동작적, 자료흐름, 구조적 ... 전가산기http://blog.naver.com/gozooc/1200203267114) 논리회로도http://blog.naver.com/seunghyub_l/2206285036165) 논리회로설계실험
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    실험이다. ... VHDL Source그림11. 실습에 주어진 조건2-1)TestBench source그림12, 13. ... 1.목적(Purpose)이번실습은 FlipFlop을 이용한 Shifter을 설계하는 것으로, Clock과 Enable 그리고 mode에 따라 각각 다른 동작을 하는 회로를 설계하는
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 2023상반기 현대자동차 R&D 합격 자소서
    발생하는 에러들을 word파일로 정리하여 동일 에러 발생 시 빠르게 해결 했습니다.2) 디지털 회로실험 A0 : 한 학기동안 FPGA구조를 설계했습니다. ... A+ : VHDL을 이용해 디지털 시계 entity와 내부 아키텍처 개발을 한 학기 간 수행했습니다. ... 컴파일 에러 발생 시, 시뮬레이션 결과를 바탕으로 원인을 파악하는 역량을 길렀습니다.3) 아날로그 회로실험 A+ : 브레드보드와 오실로스코프 등을 이용해 R, L, C회로와 증폭기를
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    A+VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다. ... 하드웨어 설계 시 일어날 수 있는 timing 이슈에 대한 문제해결 역량을 길렀습니다.2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다 ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다.3) 아날로그 회로실험 A+R, L, C 수동소자 및 MOSFET
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • 23년 상반기 한화파워시스템-전기제어 합격자소서
    구체적인 사례와 경험을 들어 기술하여 주십시오.1) 디지털 시스템 설계 A+VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다. ... (How(요가링 시범) Result(참여율 높임))2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다. ... 프로그램을 다루는 실험 과목에서 꾸준히 A 이상의 학점을 받으며 문제해결 역량을 길렀습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:44 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대