• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(347)
  • 리포트(316)
  • 자기소개서(30)
  • 이력서(1)

"VHDL설계실험" 검색결과 61-80 / 347건

  • VHDL 실습(D-FF, JK-FF, Counter) 결과
    디지털공학실험 ? VHDL실습(D-FF,JK-FF,Counter) 결과 보고서※ 모든 사진은 위에서부터 모듈, 테스트벤치, 시뮬레이션, 진리표 순서입니다.? ... 상승)0010111↑(상승)0010110↑(상승)0010101↑(상승)0010100↑(상승)0010011↑(상승)0010010↑(상승)0010001↑(상승)0010000☞ 이번에 설계
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다. ... 분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다. Count라는 variable을 범위를 설정하여 준다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 2023상반기 LG전자 합격 자소서
    이는 소자에 대한 이해를 바탕으로 가전제품의 소모전력을 고려해 설계하는 업무와 관련된다고 생각합니다.2) 디지털 시스템 설계 A+VHDL을 이용해 디지털 시계 entity와 내부 아키텍처 ... 이는 회로 검증 시 나타나는 각종 이슈를 찾아 해결하는 엔지니어 업무에 꼭 필요하다고 생각합니다.3) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 ... 제 다음과 같은 역량을 발휘해 '일등제품' 개발에 기여하며 LG전자의 경쟁력 강화에 보탬이 되고자 지원했습니다.저는 아날로그 회로실험, 디지털 시스템 설계 등 학부 수업에서 다양한
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 논리회로설계 실험 디코더 인코더
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 인코더1. ... 실험 목표반가산기와 전가산기에 대해 알아보고 반가산기의 진리표와 논리식을 작성하고 그에 따른 논리회로를 그리고 자일링스 프로그램을 사용하여 VHDL언어로 동작적, 자료흐름, 구조적 ... 5) 논리회로설계실험 국태용교수님 아이캠퍼스 강의자료http://terms.naver.com/entry.nhn?
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • LS일렉트릭 HWP(PLC,HMI)직무 합격자소서
    이의 일례로 디지털회로설계 역량을 발전시키기 위해 논리회로실험 설계 프로젝트에서 1위를 달성하겠다는 목표에 도전했습니다. ... 설계 프로젝트의 주요 목표는 FPGA Kit와 VHDL을 사용한 정수 계산기 설계였습니다.다른 팀과의 차별성을 위해 승수 및 팩토리얼 계산 등의 추가 기능을 구상하였지만 이를 모두 ... 저 또한 이에 부합하는 도전정신을 통해 임베디드S/W 설계역량을 쌓아왔기에 LS ELECTRIC의 글로벌 경쟁력인 자동화 기술력을 높이고자 지원했습니다.주행로봇 설계프로젝트에서 직면한
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.23
  • multiplexer(멀티플렉서) VHDL 실습보고서
    multiplexers-in-digital-logic/fundamentals of logic design, Charles, Larry L Kinney 7th3.Source & Results1)VHDL ... 1.목적(Purpose)이번 실습은 8-1 Multiplexer를 구현하는 실습으로 8개의 입력값중 1개의 출력값을 선택하여 만들어내는 Multiplexer를 설계하는 실습이다. 8개의 ... 입력값과 3비트의 selection 값을 이용하여 값을 선택하고 1개의 출력값을 만들어내며, 구현하는 방법으로는 2-1 Multiplexer 7개를 가지고 8-1을 구현하는 식으로 설계한다
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 11Digital Writer를 이용해 DIO0와 DIO1에 디지털값을 입력해주었더니,표7의 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 ... 하지만 이번 실험의 경우, ISE에서 도식으로 그린 회로를 FPGA에 download하여 모듈에 있는 핀과 연결하였기 때문에 실제로 그러한 비용절감 효과를 체감할 수는 없었다.만약
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • ALU 8bit 설계 베릴로그
    덧셈, 뺄셈, INC연산을 할 땐 오버플로우를 검출 해야하므로 저번 실험에 사용했던 8bit adder/subtractor 실험에 사용했던 코드를 다시 사용하도록함. ... 디지털시스템설계 #4 Report2018. 5. 17 제출mode값에 따라서 다양한 행위를 함. ... (그림 ㄱ,ㄴ참고) mode값에 상관없이 일단 모든 연산을 모두 수행하고 mode값에 따라 알맞은 값만 result값에 대입하는 기법으로 설계.그림 ㄱ.그림 ㄴ.8bit ALU 블록
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • 도쿄일렉트론코리아(TEL) 자소서
    VHDL과 FPGA를 이용하여 사칙연산 계산기를 제작한 적이 있습니다. ... 또한 PMIC 반도체인 LDO Regulator 설계 프로젝트를 통해 공정하고자 하는 반도체의 회로구조와 설계과정을 익힘으로써 반도체 장비의 이해를 심화했습니다. ... 양자 암호 관련 실험에서 나온 데이터들을 통신 거리와 신호 크기 등의 변수들을 통해 나타나는 오류들을 파악했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.07.05
  • SK하이닉스 연구개발(공정알엔디) 합격 자기소개서
    >전자종합설계 OLED 발광실험에서 빛의 밝기향상을 목표로 프로젝트를 진행했습니다. 실험을 진행하면서 소자의 밝기는 개선되어 갔습니다. ... >디지털 시스템 설계수업에서 팀을 이뤄 VHDL을 이용해 포모도로 타이머를 제작하는 프로젝트를 진행했습니다. ... 각각의 공정을 맡은 조원별로 추측되는 불안요소를 하나씩 조정하며 실험을 진행했습니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.02.06
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    전자전기컴퓨터설계실험Ⅱ결과리포트Lab-03 Basic Gates in Verilog작성일: 20.10.021. ... 실험목적Verilog HDL의 기본 사용법을 익히고 비트 단위 연산자, gate primitive, behavioral modeling 등 논리회로를 설계하는 다양한 방법론을 학습한다 ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다. ... Final Project : Digital Watchpost-lab report과목명전자전기컴퓨터설계실험2담당 교수전공 학부전자전기컴퓨터공학부학번성명제출 일자목 차Ⅰ. ... 베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    디지털 논리회로 [ModelSim을 이용한 VHDL 실습 과제]실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형을 구하고 ... 시그널 temp의 글리치가 실제 실험에서도 무시 가능한 요소인지는 실험을 해야 알 수 있겠지만, 글리치 신호는 게이트를 거칠수록 약해질 것이라는 것은 예상할 수 있는 사실이다. ... 이는 단순히 2단 AND-OR게이트 회로로 나타낸 형태인 보다 2단계가 높아졌음을 알 수 있다.4bit full adder의 설계와 구현4bit full adder는 미리 설계해둔
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    실험 장비 :1) Digilent Nexys4 FPGA Board:이미 설계된 하드웨어를 반도체로 생산하기 직전 최종적으로 하드웨어의 동작 및 성능을 검증하기 위해 제작하는 중간 개발물 ... 실험 제목 : Sequential logic design using Verilog2. ... 현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2]2) verilig의 요소의미모듈 : 보통의 프로그래밍 언어에서
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • VHDL 설계 실습 보고서 (전감산기 설계)
    VHDL 설계 실습 보고서 VHDL Lab_01일 시학 번이 름제 목전감산기 설계실습 목적전감산기는 한 자리 2진수 뺄셈을 할 때 전가산기에서 더한 결과 캐리가 발생하는 것과는 반대로 ... 나오는 것을 확인 할 수 있었다.전감산기의VHDL 설계1. ... 전감산기를 설계하는 과정을 통해 조합논리회로를 VHDL설계하는 방법을 공부한다.실습 내용실습 결과전감산기의논리식1.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.05.29
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    실험 장비 :1. ... 실험 제목 : FPGA Implementation of Shift Register2. ... 현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2]2.verilig의 요소의미모듈 : 보통의 프로그래밍 언어에서 사용되는
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    설계 목표 및 요구사항1) 설계 요구사항. -FPGA Kit에서 7 segment 모듈과 Keypad 모듈을 이용하여 Digital Lock을 설계한다. ... (다른 경우에 비밀번호 재설정을 시도한다면 아무런 반응이 없게 설계하였다.) ... 입력이 끝나면 잠금 및 해제를 7 segment 모듈에 표시한다.2) 설계 목표알고리즘 순서0.
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • (합격자소서)경신 연구개발
    대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 그래서 하루가 멀다하고 실험실에 찾아가서 현미경을 들여다 보았습니다. ... 이는 앞으로 전자제어기기를 연구, 설계할 때 설계 오류에 의한 Risk를 최소화 하는 데 큰 밑바탕이 될 것이라 생각합니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.12.31
  • [전기실험]디지털 공학 실험 레포트 1장(문제풀이)
    전기실험전기실험디지털 공학 실험 레포트 1장(문제풀이) 홀수 문제의 정답은 책 끝 부분에 있다.1-1절 디지털 양과 아날로그 양1. ... (a) 설계 입력 (b) 시뮬레이션 컴파일 (d) 다운로드(a) 설계 입력 텍스트기반, 그래픽기반입력 또는 상태 다이어그램 기술 등의 방법으로 시스템 또는 회로 설계의 내용이 설계 ... PAL, GAL, SPLD, VHLD, CPLD, AHDL, FPGA, VHDL, AHDL이다.28. 다음은 각각 무엇을 나타내는가?
    리포트 | 5페이지 | 1,500원 | 등록일 2020.04.20
  • 논리회로설계실험 BCD가산기 레포트
    논리회로설계 실험 설계과제 보고서주제 : #1 BCD 가산기 설계1. 설계 배경 및 목표1) 설계 배경컴퓨터는 2진법을 이용하여 계산을 한다. ... 만약 0000001일 경우에는 a,b,c,d,e,f가 켜진 형태로 0의 모양을 출력하게 되는 것이다.(2) 설계 방법1) 구조적 모델링을 이용하여 BCD 가산기를 설계한다.1-1) ... 이번 설계과제에서는 Common-anode type 7-segment를 이용하여 과제를 진행하였다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대